ውይይት፡ የOpenROAD ፕሮጀክት የማቀነባበሪያ ዲዛይን አውቶሜሽን ችግር ለመፍታት አስቧል

ውይይት፡ የOpenROAD ፕሮጀክት የማቀነባበሪያ ዲዛይን አውቶሜሽን ችግር ለመፍታት አስቧል
--Ото - Pexels - ሲ.ሲ.ቢ

የተሰጠው PWC, ሴሚኮንዳክተር ቴክኖሎጂ ገበያ እያደገ ነው - ባለፈው ዓመት 481 ቢሊዮን ዶላር ደርሷል. ግን የእድገቱ መጠን በቅርቡ ነው። ቀንሷል. የማሽቆልቆሉ ምክንያቶች ግራ የሚያጋቡ የመሣሪያ ዲዛይን ሂደቶች እና አውቶማቲክ እጥረት ያካትታሉ።

ከጥቂት አመታት በፊት, ከ Intel መሐንዲሶች ፃፈከፍተኛ አፈጻጸም ያለው ማይክሮፕሮሰሰር ሲፈጥሩ 100-150 የተለያዩ የሶፍትዌር መሳሪያዎችን መጠቀም አለብዎት።ኢ.ዲ.ዲ.). ሁኔታው በተለያዩ መሳሪያዎች ላይ ሊባባስ ይችላል, ስነ-ህንፃው በርካታ የተለያዩ ቺፕስ ዓይነቶችን ያካትታል - ASIC, FPGA, ሲፒዩ ወይም ጂፒዩ. በውጤቱም, የምርት መውጣቱን የሚዘገዩ የንድፍ ስህተቶች ይከሰታሉ.

ብዙ ቁጥር ያላቸው ረዳት መሣሪያዎች ቢኖሩም መሐንዲሶች አሁንም አንዳንድ ሥራዎችን በእጅ እንዲሠሩ ይገደዳሉ። የመጽሐፉ ደራሲዎች "የላቀ አመክንዮአዊ ውህደትአንዳንድ ጊዜ ዲዛይነሮች ይላሉ ማድረግ አለብኝ ቤተ-መጻሕፍት ለመፍጠር በ Skill ወይም Python የሁለት ሚሊዮን መስመር ስክሪፕቶችን ይጻፉ ሴሎች.

ስክሪፕቶች እንዲሁ የተፃፉት በEDA ስርዓቶች የመነጩ ሪፖርቶችን ለመተንተን ነው። የ 22nm ሂደት ቴክኖሎጂን በመጠቀም ቺፕ ሲሰራ, እነዚህ ዘገባዎች እስከ 30 ቴራባይት ሊወስዱ ይችላሉ.

DARPA ሁኔታውን ለማስተካከል ወሰነ እና የንድፍ ሂደቶችን ደረጃውን የጠበቀ ለማድረግ ሞክር. በኤጀንሲው ውስጥም አስቡበት ፡፡ቺፖችን ለመፍጠር ነባር ዘዴዎች ጊዜ ያለፈባቸው ናቸው. ድርጅት ተጀመረ የአምስት ዓመት ፕሮግራም ክፍት መንገድ, ይህም የቺፕ ዲዛይን ሂደቶችን በራስ-ሰር ለማካሄድ አዳዲስ መሳሪያዎችን ለማዘጋጀት ነው.

ምን አይነት ፕሮግራም

ፕሮግራሙ የማሽን መማሪያ እና የደመና ቴክኖሎጂዎችን በመጠቀም የቺፕ ፈጠራን የግለሰብ ደረጃዎችን በራስ ሰር የሚሰሩ በርካታ ፕሮጀክቶችን ያካትታል። እንደ ተነሳሽነት አካል እየተገነቡ ነው። (ሥዕላዊ መግለጫ 1) ከአሥር በላይ መሳሪያዎች. በመቀጠል ስለ አንዳንዶቹ የበለጠ በዝርዝር እንነጋገራለን-Flow Runner, RePlAce, TritonCTS, OpenSTA.

ወራጅ ሯጭ RTL እና GDSII ቤተ መፃህፍትን ለማስተዳደር መሳሪያ ነው። የኋለኞቹ ስለ የተዋሃዱ ወረዳዎች እና ስለ ቶፖሎጂዎቻቸው መረጃ ለመለዋወጥ የኢንዱስትሪ መስፈርት የሆኑ የውሂብ ጎታ ፋይሎች ናቸው። መፍትሄው በዶከር ኮንቴይነር ቴክኖሎጂ ላይ የተመሰረተ ነው. ፍሎው ሯጭን በደመና ውስጥ እና በአካባቢው ማሄድ ይችላሉ። የመጫኛ መመሪያው በይፋዊው ማከማቻ ውስጥ ነው በ GitHub ላይ.

እንደገና ቦታ ክፍሎችን በቺፕ ላይ የማስቀመጥ እና የማዘዋወር ሂደትን የማካሄድ ሃላፊነት ባለው በማሽን መማር ላይ የተመሰረተ የደመና መፍትሄ ነው። በ አንዳንድ ውሂብየማሰብ ችሎታ ያላቸው ስልተ ቀመሮች የመሳሪያውን ውጤታማነት ከ2-10% ከጥንታዊ ስርዓቶች ጋር ይጨምራሉ. በተጨማሪም, በደመና ውስጥ መተግበሩን ቀላል ያደርገዋል. የመጫኛ እና የማዋቀር መመሪያም አለ። በማጠራቀሚያው ውስጥ.

ትሪቶንCTS - ለቺፑ የሚቀርቡ የሰዓት ጥራሮችን ለማመቻቸት መገልገያ። በተመሳሳይ መዘግየቶች ወደ ሁሉም የመሣሪያው ክፍሎች የሰዓት ምልክቶችን ለመምራት ይረዳል። የአሠራር መርህ የተመሰረተው በ ኤች-ዛፎች. ይህ አቀራረብ ያሻሽላል ከባህላዊ ዘዴዎች ጋር ሲነፃፀር የምልክት ስርጭት ውጤታማነት በ 30%። ገንቢዎቹ ወደፊት ይህ አሃዝ ወደ 56% ሊጨምር እንደሚችል ይናገራሉ. TritonCTS የምንጭ ኮድ እና ስክሪፕቶች ይገኛሉ በ GitHub ላይ.

STA ክፈት - የማይንቀሳቀስ የጊዜ ትንተና ሞተር። ንድፍ አውጪው በትክክል ከመገጣጠሙ በፊት የቺፑን ተግባራዊነት ለመፈተሽ እድል ይሰጣል. የምሳሌ ኮድ በOpenSTA ይመስላል ልክ እንደዚህ.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

መገልገያው የቬሪሎግ ኮድ፣ የነጻነት ቅርጸት ቤተ-መጻሕፍት፣ የኤስዲሲ ፋይሎች፣ ወዘተ የኔት ዝርዝር መግለጫዎችን ይደግፋል።

ጥቅሞች እና ጉዳቶች

ከ IBM እና IEEE ባለሙያዎች አክብርየደመና ቴክኖሎጂዎች እና የማሽን መማር በቺፕ ምርት ውስጥ ለመጠቀም ረጅም ጊዜ ያለፈባቸው ናቸው። በእነሱ አስተያየት, የ DARPA ፕሮጀክት የዚህን ሀሳብ ትግበራ እና ስኬታማ ምሳሌ ሊሆን ይችላል ያስቀምጣል። በኢንዱስትሪው ውስጥ ለውጦች መጀመሪያ.

እንዲሁም የOpenROAD ክፍት ተፈጥሮ በመሳሪያዎቹ ዙሪያ ኃይለኛ ማህበረሰብን ይፈጥራል እና አዲስ ጀማሪዎችን ይስባል ተብሎ ይጠበቃል።

ውይይት፡ የOpenROAD ፕሮጀክት የማቀነባበሪያ ዲዛይን አውቶሜሽን ችግር ለመፍታት አስቧል
--Ото - Pexels - ሲ.ሲ.ቢ

ቀድሞውኑ ተሳታፊዎች አሉ - በሚቺጋን ዩኒቨርሲቲ ላይ የተመሠረተ ላብራቶሪ በማደግ ላይ ያሉ ቺፕስ ፣ የመጀመሪያው ይሆናልየ OpenROAD ክፍት ምንጭ መሳሪያዎችን ማን ይሞክራል። ነገር ግን አዳዲስ መፍትሄዎች በመጨረሻዎቹ ምርቶች ዋጋ ላይ ጉልህ ተጽእኖ ሊኖራቸው ይችሉ እንደሆነ ገና አልታወቀም.

በአጠቃላይ በ DARPA አመራር እየተገነቡ ያሉ መሳሪያዎች በአቀነባባሪው ኢንዱስትሪ ላይ በጎ ተጽእኖ ይኖራቸዋል ተብሎ የሚጠበቅ ሲሆን በዚህ አካባቢ ተጨማሪ አዳዲስ ፕሮጀክቶች መፈጠር ይጀምራሉ. አንድ ምሳሌ መሣሪያ ሊሆን ይችላል። ገዳ - ያልተገደበ ብዛት ያላቸውን ቺፖችን እንዲነድፉ ያስችልዎታል። gEDA ማይክሮ ሰርኩይትን እና የቦርድ ማዘዋወርን ለማረም እና ለመቅረጽ መገልገያዎችን ያካትታል። መፍትሄው ለ UNIX የመሳሪያ ስርዓቶች ተዘጋጅቷል, ነገር ግን በርካታ ክፍሎቹ በዊንዶውስ ስር ይሰራሉ. ከእነሱ ጋር አብሮ ለመስራት መመሪያ ሊገኝ ይችላል በፕሮጀክቱ ድህረ ገጽ ላይ ባለው ሰነድ ውስጥ.

በነጻ የሚገኙ መሳሪያዎች ለገለልተኛ ድርጅቶች እና ጀማሪዎች ተጨማሪ አማራጮችን ይሰጣሉ። ከጊዜ በኋላ የOpenROAD አዲሱ የ EDA መሣሪያ ልማት እና ቺፕ ዲዛይን አቀራረቦች የኢንዱስትሪ ደረጃ ሊሆኑ ይችላሉ።

በድርጅታችን ብሎግ ላይ ስለምንጽፈው፡-

ምንጭ: hab.com

አስተያየት ያክሉ