--Ото -
በ
ከጥቂት አመታት በፊት, ከ Intel መሐንዲሶች
ብዙ ቁጥር ያላቸው ረዳት መሣሪያዎች ቢኖሩም መሐንዲሶች አሁንም አንዳንድ ሥራዎችን በእጅ እንዲሠሩ ይገደዳሉ። የመጽሐፉ ደራሲዎች "
የላቀ አመክንዮአዊ ውህደት አንዳንድ ጊዜ ዲዛይነሮች ይላሉማድረግ አለብኝ ቤተ-መጻሕፍት ለመፍጠር በ Skill ወይም Python የሁለት ሚሊዮን መስመር ስክሪፕቶችን ይጻፉሴሎች .ስክሪፕቶች እንዲሁ የተፃፉት በEDA ስርዓቶች የመነጩ ሪፖርቶችን ለመተንተን ነው። የ 22nm ሂደት ቴክኖሎጂን በመጠቀም ቺፕ ሲሰራ, እነዚህ ዘገባዎች እስከ 30 ቴራባይት ሊወስዱ ይችላሉ.
DARPA ሁኔታውን ለማስተካከል ወሰነ እና የንድፍ ሂደቶችን ደረጃውን የጠበቀ ለማድረግ ሞክር. በኤጀንሲው ውስጥም
ምን አይነት ፕሮግራም
ፕሮግራሙ የማሽን መማሪያ እና የደመና ቴክኖሎጂዎችን በመጠቀም የቺፕ ፈጠራን የግለሰብ ደረጃዎችን በራስ ሰር የሚሰሩ በርካታ ፕሮጀክቶችን ያካትታል። እንደ ተነሳሽነት አካል
ወራጅ ሯጭ RTL እና GDSII ቤተ መፃህፍትን ለማስተዳደር መሳሪያ ነው። የኋለኞቹ ስለ የተዋሃዱ ወረዳዎች እና ስለ ቶፖሎጂዎቻቸው መረጃ ለመለዋወጥ የኢንዱስትሪ መስፈርት የሆኑ የውሂብ ጎታ ፋይሎች ናቸው። መፍትሄው በዶከር ኮንቴይነር ቴክኖሎጂ ላይ የተመሰረተ ነው. ፍሎው ሯጭን በደመና ውስጥ እና በአካባቢው ማሄድ ይችላሉ። የመጫኛ መመሪያው በይፋዊው ማከማቻ ውስጥ ነው
እንደገና ቦታ ክፍሎችን በቺፕ ላይ የማስቀመጥ እና የማዘዋወር ሂደትን የማካሄድ ሃላፊነት ባለው በማሽን መማር ላይ የተመሰረተ የደመና መፍትሄ ነው። በ
ትሪቶንCTS - ለቺፑ የሚቀርቡ የሰዓት ጥራሮችን ለማመቻቸት መገልገያ። በተመሳሳይ መዘግየቶች ወደ ሁሉም የመሣሪያው ክፍሎች የሰዓት ምልክቶችን ለመምራት ይረዳል። የአሠራር መርህ የተመሰረተው በ
STA ክፈት - የማይንቀሳቀስ የጊዜ ትንተና ሞተር። ንድፍ አውጪው በትክክል ከመገጣጠሙ በፊት የቺፑን ተግባራዊነት ለመፈተሽ እድል ይሰጣል. የምሳሌ ኮድ በOpenSTA
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
መገልገያው የቬሪሎግ ኮድ፣ የነጻነት ቅርጸት ቤተ-መጻሕፍት፣ የኤስዲሲ ፋይሎች፣ ወዘተ የኔት ዝርዝር መግለጫዎችን ይደግፋል።
ጥቅሞች እና ጉዳቶች
ከ IBM እና IEEE ባለሙያዎች
እንዲሁም የOpenROAD ክፍት ተፈጥሮ በመሳሪያዎቹ ዙሪያ ኃይለኛ ማህበረሰብን ይፈጥራል እና አዲስ ጀማሪዎችን ይስባል ተብሎ ይጠበቃል።
--Ото -
ቀድሞውኑ ተሳታፊዎች አሉ - በሚቺጋን ዩኒቨርሲቲ ላይ የተመሠረተ ላብራቶሪ በማደግ ላይ ያሉ ቺፕስ ፣
በአጠቃላይ በ DARPA አመራር እየተገነቡ ያሉ መሳሪያዎች በአቀነባባሪው ኢንዱስትሪ ላይ በጎ ተጽእኖ ይኖራቸዋል ተብሎ የሚጠበቅ ሲሆን በዚህ አካባቢ ተጨማሪ አዳዲስ ፕሮጀክቶች መፈጠር ይጀምራሉ. አንድ ምሳሌ መሣሪያ ሊሆን ይችላል።
በነጻ የሚገኙ መሳሪያዎች ለገለልተኛ ድርጅቶች እና ጀማሪዎች ተጨማሪ አማራጮችን ይሰጣሉ። ከጊዜ በኋላ የOpenROAD አዲሱ የ EDA መሣሪያ ልማት እና ቺፕ ዲዛይን አቀራረቦች የኢንዱስትሪ ደረጃ ሊሆኑ ይችላሉ።
በድርጅታችን ብሎግ ላይ ስለምንጽፈው፡-
Unboxing: Cisco UCS B480 M5 Blade አገልጋይ Unboxing: ሁሉም-ፍላሽ ማከማቻ ስርዓት NetApp AFF A300 - ከውስጥ እይታ ከፍተኛ-ጥቅጥቅ ያሉ አገልጋዮች-የመፍትሄዎች ትንተና Cisco UCS - unboxing
ምንጭ: hab.com