ማይክሮ መቆጣጠሪያዎ የትርፍ ጊዜ ማሳለፊያዎ ከሆነ እንዴት እና ለምን የውሂብ ሉሆችን ማንበብ እንደሚችሉ

ማይክሮ መቆጣጠሪያዎ የትርፍ ጊዜ ማሳለፊያዎ ከሆነ እንዴት እና ለምን የውሂብ ሉሆችን ማንበብ እንደሚችሉ

ማይክሮኤሌክትሮኒክስ ለአስማታዊው አርዱዪኖ ምስጋና ይግባው በቅርብ ዓመታት ውስጥ ፋሽን የሆነ የትርፍ ጊዜ ማሳለፊያ ነው። ግን ችግሩ እዚህ አለ: በበቂ ፍላጎት, በፍጥነት ዲጂታል ደብተር () ማሳደግ ይችላሉ, ነገር ግን ቀጥሎ ምን ማድረግ እንዳለበት ሙሉ በሙሉ ግልጽ አይደለም. የአርዱዪኖ ገንቢዎች ወደ ስነ-ምህዳራቸው እንዳይገቡ እንቅፋት እንዲቀንስ ለማድረግ ብዙ ጥረት አድርገዋል፣ ነገር ግን ከሱ ውጭ አሁንም ለአማተር የማይደረስ የጨለማ ወረዳ ደን አለ።

ለምሳሌ, የውሂብ ሉሆች. ሁሉም ነገር ያላቸው ይመስላል, ይውሰዱት እና ይጠቀሙበት. ነገር ግን ደራሲዎቻቸው ማይክሮ ተቆጣጣሪዎችን የማስፋፋት ስራ እራሳቸውን በግልፅ አላዘጋጁም; አንዳንዴ ይመስላልቀላል ነገሮችን በሚገልጹበት ጊዜ ሆን ብለው ለመረዳት የማይቻሉ ቃላትን እና አህጽሮተ ቃላትን በተቻለ መጠን ያላወቁትን ለማደናገር። ነገር ግን ሁሉም ነገር በጣም መጥፎ አይደለም, ከተፈለገ ሣጥኑ ይከፈታል.

በዚህ ጽሑፍ ውስጥ ለትርፍ ጊዜ ዓላማዎች ከዳታ ሉሆች ጋር የመገናኘትን የሰብአዊነት ባለሙያ ልምድ አካፍላለሁ። ጽሑፉ ከአርዱዪኖ ሱሪ ላደጉ አማተሮች የታሰበ ነው ፣ እሱ ስለ ማይክሮ መቆጣጠሪያ መርሆዎች የተወሰነ ግንዛቤን ይወስዳል።

በባህላዊው እጀምራለሁ

በ Arduino ላይ LEDን በማንፀባረቅ ላይ

እና ወዲያውኑ ኮድ:

void setup() {
DDRB |= (1<<5);
}

void loop() {
PINB = (1<<5);
for (volatile uint32_t k=0; k<100000; k++);
}

"ምንድነው ይሄ? – የተራቀቀ አንባቢ ይጠይቃል። - ለምን ወደ ፒንቢ ግብዓት መዝገብ የምትጽፈው ነገር አለ? ለማንበብ ብቻ ነው!" በእውነት፣ Arduino ሰነድልክ እንደ በይነመረብ ላይ እንደ አብዛኞቹ ትምህርታዊ ጽሑፎች፣ ይህ መዝገብ ተነባቢ-ብቻ እንደሆነ ይገልጻል። ደግሜ እስካላነበው ድረስ ራሴ አሰብኩ። ዳታ ገጽ ይህን ጽሑፍ በማዘጋጀት ወደ Atmega328p. እና እዚያ:

ማይክሮ መቆጣጠሪያዎ የትርፍ ጊዜ ማሳለፊያዎ ከሆነ እንዴት እና ለምን የውሂብ ሉሆችን ማንበብ እንደሚችሉ

ይህ በአንፃራዊነት አዲስ ተግባር ነው፣ በ Atmega8 ላይ አልነበረም፣ ሁሉም ስለእሱ የሚያውቀው ወይም ለኋላ ተኳሃኝነት ምክንያቶች አልተጠቀሰም። ነገር ግን ብዙም ያልታወቁትን ጨምሮ ሁሉንም የቺፑን አቅም ለመጠቀም የውሂብ ሉሆች ማንበብ ጠቃሚ ናቸው የሚለውን ሃሳብ ለማሳየት በጣም ተስማሚ ነው። እና ምክንያቱ ይህ ብቻ አይደለም.

ለምን ሌላ የውሂብ ሉሆችን ያንብቡ?

ብዙውን ጊዜ አርዱዲኖ መሐንዲሶች በ LEDs እና AnalogWrites በቂ ተጫውተው ሁሉንም ዓይነት ሞጁሎችን እና ቺፖችን ከቦርዱ ጋር ማገናኘት ይጀምራሉ ፣ ለዚህም ቀደም ሲል የተፃፉ ቤተ-መጽሐፍቶች አሉ። ፈጥኖም ይሁን ዘግይቶ፣ እንደፈለገው የማይሰራ ቤተ-መጽሐፍት ይታያል። ከዚያ አማተሩ ለመጠገን መልቀም ይጀምራል እና ከዚያ...

እና ሙሉ በሙሉ ለመረዳት የማይቻል ነገር እዚያ ተከሰተ, ስለዚህ ወደ Google መሄድ አለብዎት, ብዙ መማሪያዎችን ያንብቡ, የአንድን ሰው ተስማሚ ኮድ ክፍሎችን ያውጡ እና በመጨረሻም ግብዎን ያሳኩ. ይህ ኃይለኛ የስኬት ስሜት ይሰጣል፣ ነገር ግን በእውነቱ ሂደቱ ሞተር ሳይክልን በተገላቢጦሽ ምህንድስና መንኮራኩሩን እንደገና እንደመፍጠር ነው። ከዚህም በላይ ይህ ብስክሌት እንዴት እንደሚሰራ መረዳት አይጨምርም. አውቃለሁ፣ ምክንያቱም እኔ ራሴ ለረጅም ጊዜ ስላደረግኩት ነው።

ከዚህ አስደሳች እንቅስቃሴ ይልቅ የ Atmega328 ሰነዶችን በማጥናት ሁለት ቀናትን አሳልፌ ቢሆን ኖሮ ብዙ ጊዜ እቆጥባለሁ። ከሁሉም በላይ ይህ በጣም ቀላል ማይክሮ መቆጣጠሪያ ነው.

ስለዚህ, ማይክሮ መቆጣጠሪያው በአጠቃላይ እንዴት እንደሚሰራ እና ምን ማድረግ እንደሚችል ለመገመት ቢያንስ የውሂብ ሉሆችን ማንበብ ያስፈልግዎታል. እና ተጨማሪ፡-

  • የሌሎች ሰዎችን ቤተ-መጻሕፍት ለመፈተሽ እና ለማመቻቸት። ብዙውን ጊዜ ጎማውን እንደገና በሚፈጥሩት ተመሳሳይ አማተሮች የተጻፉ ናቸው; ወይም በተቃራኒው ደራሲዎቹ ሆን ብለው ከመጠን በላይ ሞኝ ያደርጓቸዋል. ሶስት እጥፍ የበለጠ እና ቀርፋፋ ይሁን, ግን በእርግጠኝነት ይሰራል;

  • ማንም ሰው ቤተ-መጽሐፍት ያልጻፈበት ፕሮጀክት ውስጥ ቺፕስ መጠቀም መቻል;

  • ከአንድ MK መሾመር ወደ ሌላ ለመሸጋገር ቀላል ለማድረግ;

  • ወደ አርዱዪኖ የማይገባውን የድሮ ኮድዎን በመጨረሻ ለማመቻቸት;

  • የቤተ-መጻህፍትን መዋቅር በማጥናት ሳይቸገሩ፣ ካለ በመዝገቡ በኩል ማንኛውንም ቺፕ እንዴት እንደሚቆጣጠር ለማወቅ።

ሃል እና ኤልኤል ሲኖሩ በቀጥታ ወደ መዝገቦች ለምን ይፃፉ?

መዝገበ ቃላት
HAL፣ ከፍተኛ የአብስትራክሽን ንብርብር - ከፍተኛ የአብስትራክሽን ደረጃ ያለው ማይክሮ መቆጣጠሪያን ለመቆጣጠር ቤተ-መጽሐፍት። የ SPI1 በይነገጽን መጠቀም ከፈለጉ፣ የትኞቹ መዝገቦች ለምን ተጠያቂ እንደሆኑ ሳያስቡ በቀላሉ አዋቅረው SPI1 ን ያንቁ።
ኤልኤል፣ ዝቅተኛ ደረጃ ኤፒአይ - ማክሮዎችን ወይም አወቃቀሮችን የያዘ የመመዝገቢያ አድራሻዎች ፣ ይህም በስም እንዲደርሱባቸው ያስችልዎታል ። DDRx፣ PORTx፣ ፒንክስ በአትሜጋ ላይ LL ናቸው።

"HAL, LL ወይም ይመዘግባል" በሚለው ርዕስ ላይ አለመግባባቶች በ Habré ላይ በሚሰጡ አስተያየቶች ላይ በየጊዜው ይከሰታሉ. የከዋክብት እውቀትን ለማግኘት ሳልጠይቅ፣ በቀላሉ አማተር ልምዴን እና ሀሳቤን አካፍላለሁ።

ብዙ ወይም ባነሰ መልኩ አትሜጋን አውቄ ስለ STM32 አስደናቂነት መጣጥፎችን ካነበብኩ በኋላ፣ ግማሽ ደርዘን የሚሆኑ የተለያዩ ቦርዶችን ገዛሁ - ግኝት፣ እና ብሉ ክኒኖች፣ እና ሌላው ቀርቶ ለቤትሰራቸው ምርቶቼ ቺፕስ ብቻ። ሁሉም ለሁለት አመታት በሳጥን ውስጥ አቧራ ሰበሰቡ. አንዳንድ ጊዜ ለራሴ እንዲህ አልኩ፡- “ያ ነው፣ ከሳምንት መጨረሻ ጀምሮ STMን ተምሬያለሁ፣” CubeMX ን ጀምሯል፣ ለ SPI ማዋቀርን ፈጠርኩ፣ የተገኘውን የጽሁፍ ግድግዳ ተመለከትኩ፣ በSTM የቅጂ መብት በልግስና ተሞልቼ ይሄም በሆነ መንገድ እንደሆነ ወሰንኩ። ብዙ።

ማይክሮ መቆጣጠሪያዎ የትርፍ ጊዜ ማሳለፊያዎ ከሆነ እንዴት እና ለምን የውሂብ ሉሆችን ማንበብ እንደሚችሉ

በእርግጥ CubeMX እዚህ ምን እንደፃፈ ማወቅ ይችላሉ. ግን በተመሳሳይ ጊዜ ሁሉንም ቃላቶች ማስታወስ እና ከዚያም በእጅ መፃፍ ከእውነታው የራቀ መሆኑን ግልጽ ነው. እና ይህንን ለማረም ፣ በስህተት በኩብ ውስጥ አንድ ሳጥን ላይ ምልክት ማድረግን ከረሳሁ ፣ ያ ሙሉ በሙሉ ጥሩ ነው።

ሁለት አመታት አለፉ አሁንም ከንፈሬን እየላስኩ ነው። ST MCU ፈላጊ ለሁሉም አይነት ጣፋጭ ነገር ግን ከመረዳቴ በላይ ቺፕስ እና በአጋጣሚ ተገናኘ ድንቅ መጣጥፍስለ STM8 ቢሆንም። እና በድንገት በዚህ ጊዜ ሁሉ የተከፈተውን በር እያንኳኳ እንደነበረ ተገነዘብኩ-የኤስቲኤም መዝገቦች ልክ እንደሌሎች MK ተመሳሳይ በሆነ መንገድ ይደረደራሉ, እና ኩብ ከነሱ ጋር ለመስራት አስፈላጊ አይደለም. እንኳን ይቻል ነበር?...

HAL እና በተለይም STM32CubeMX ከ STM32 ቺፕስ ጋር በቅርበት ለሚሰሩ ሙያዊ መሐንዲሶች መሳሪያ ነው። ዋናው ባህሪው በ STM32 መስመር ውስጥ በሚቆይበት ጊዜ ከአንድ MCU ወደ ሌላ እና እንዲያውም ከአንድ ኮር ወደ ሌላው በፍጥነት የመሸጋገር ችሎታ ከፍተኛ ደረጃ ረቂቅ ነው. የትርፍ ጊዜ ማሳለፊያዎች እምብዛም እንደዚህ ያሉ ችግሮች አያጋጥሟቸውም - የእኛ የማይክሮ መቆጣጠሪያ ምርጫ ፣ እንደ ደንቡ ፣ በ AliExpress ልዩነት ውስጥ የተገደበ ነው ፣ እና ብዙ ጊዜ በተለያዩ ቺፖች መካከል እንሰደዳለን - ከአትሜጋ ወደ STM ፣ ከ STM ወደ ESP ፣ ወይም ማንኛውም አዲስ ነገር የቻይና ጓደኞቻችን ወረወሩብን። HAL እዚህ አይረዳም, እና እሱን ማጥናት ብዙ ጊዜ ይበላል.

ኤልኤል ይቀራል - ግን ከእሱ ወደ መዝገቦች ግማሽ ደረጃ አለ. በግሌ ማክሮዎቼን ከመመዝገቢያ አድራሻዎች ጋር መፃፍ ጠቃሚ ሆኖ አግኝቼዋለሁ፡ የውሂብ ሉህውን በጥንቃቄ አጥናለሁ፣ ወደፊት ምን እንደሚያስፈልገኝ እና በእርግጠኝነት የማልፈልገውን አስባለሁ፣ ፕሮግራሞቼን በተሻለ መልኩ አዋቅሬአለሁ፣ እና በአጠቃላይ ማሸነፍ ለማስታወስ ይረዳል። .

በተጨማሪም ፣ ከታዋቂው STM32F103 ጋር ተመሳሳይነት አለ - ለእሱ ሁለት የማይጣጣሙ የኤልኤል ስሪቶች አሉ ፣ አንድ ኦፊሴላዊ ከ STM ፣ ሁለተኛው ከቅጠል ላብስ ፣ በ ​​STM32duino ፕሮጀክት ውስጥ ጥቅም ላይ ይውላል። የክፍት ምንጭ ቤተ-መጽሐፍት ከጻፉ (እና በትክክል ነበረኝ እንዲህ ያለ ተግባር), ወይም ሁለት ስሪቶችን መስራት አለብዎት, ወይም መዝገቦቹን በቀጥታ ማግኘት አለብዎት.

በመጨረሻም, ኤልኤልኤልን ማስወገድ, በእኔ አስተያየት, ስደትን ቀላል ያደርገዋል, በተለይም ከፕሮጀክቱ መጀመሪያ ላይ ካቀዱ. የተጋነነ ምሳሌ፡ አርዱኢኖ ብልጭ ድርግም የሚል በአትሜል ስቱዲዮ ውስጥ ያለ ኤልኤል እንፃፍ፡-

#include <stdint.h>

#define _REG(addr) (*(volatile uint8_t*)(addr))

#define DDR_B 0x24
#define OUT_B 0x25

int main(void)
{
    volatile uint32_t k;

    _REG(DDR_B) |= (1<<5);

    while(1)
    {
        _REG(OUT_B) |= (1<<5);
        for (k=0; k<50000; k++);
        _REG(OUT_B) &= ~(1<<5);
        for (k=0; k<50000; k++);
    } 
}

ይህ ኮድ በ STM8 (ከ ST ቪዥዋል ዴስክቶፕ) ጋር በቻይንኛ ሰሌዳ ላይ LEDን እንዲያበራ ፣ በውስጡ ሁለት አድራሻዎችን መለወጥ በቂ ነው-

#define DDR_B 0x5007
#define OUT_B 0x5005

አዎን, በተወሰነ ሰሌዳ ላይ LEDን የማገናኘት ባህሪን እጠቀማለሁ, በጣም በዝግታ ብልጭ ድርግም ይላል, ግን ይከሰታል!

ምን ዓይነት የውሂብ ሉሆች አሉ?

በጽሁፎች እና በመድረኮች, በሩሲያኛ እና በእንግሊዝኛ, "ዳታ ሉሆች" ማለት ለቺፕስ ማንኛውም ቴክኒካዊ ሰነዶች ማለት ነው, እና በዚህ ጽሑፍ ውስጥ ተመሳሳይ ነገር አደርጋለሁ. በመደበኛነት ፣ እነሱ እንደዚህ ያሉ ሰነዶች አንድ ዓይነት ብቻ ናቸው-

ዳታ ገጽ - የአፈፃፀም ባህሪያት, ስልታዊ እና ቴክኒካዊ ባህሪያት. ለማንኛውም የኤሌክትሮኒክስ አካል አስገዳጅ. የበስተጀርባ መረጃ በእጅ ላይ ለማቆየት ጠቃሚ ነው, ነገር ግን በውስጡ በአስተሳሰብ ለማንበብ ብዙ ነገር የለም. ይሁን እንጂ ቀለል ያሉ ቺፖችን አላስፈላጊ ሰነዶችን ላለማዘጋጀት ብዙውን ጊዜ በዳታ ሉህ ብቻ የተገደቡ ናቸው; በዚህ ጉዳይ ላይ የማጣቀሻ መመሪያ እዚህ ተካቷል.

የማጣቀሻ መመሪያ - መመሪያዎቹ እራሳቸው፣ 1000+ ገጾች ያሉት ጤናማ መጽሐፍ። በቺፑ ውስጥ የተጣበቀ የሁሉም ነገር ሥራ በዝርዝር ተገልጿል. ማይክሮ መቆጣጠሪያውን ለመቆጣጠር ዋናው ሰነድ. የማይመሳስል ዳታ ገጽ፣ መመሪያዎች ለብዙ MKs የተፃፉ ናቸው ፣ እነሱ በልዩ ሞዴልዎ ውስጥ የማይገኙ ስለ ተጓዳኝ አካላት ብዙ መረጃ ይይዛሉ።

የፕሮግራም አወጣጥ መመሪያ ወይም መመሪያ አዘጋጅ መመሪያ - ልዩ የማይክሮ መቆጣጠሪያ ትዕዛዞች መመሪያዎች። በስብሰባ ቋንቋ ፕሮግራም ለሚያደርጉ የተነደፈ። ኮምፕሌተር ደራሲዎች ኮድን ለማመቻቸት በንቃት ይጠቀማሉ, ስለዚህ በአጠቃላይ ሁኔታ እኛ አያስፈልገንም. ግን እዚህ መፈለግ ለአጠቃላይ ግንዛቤ ጠቃሚ ነው፣ ለአንዳንድ ልዩ ትዕዛዞች ለምሳሌ ከማቋረጥ መውጣት፣ እንዲሁም አራሚውን በንቃት ለመጠቀም።

የመተግበሪያ ማስታወሻ - የተወሰኑ ችግሮችን ለመፍታት ጠቃሚ ምክሮች ፣ ብዙውን ጊዜ በኮድ ምሳሌዎች።

ኢራታ ሉህ - መደበኛ ያልሆነ የቺፕ ባህሪ ጉዳዮችን ከችግር አማራጮች ጋር መግለጫ ፣ ካለ።

በውሂብ ሉህ ውስጥ ያለው

በቀጥታ ወደ ዳታ ገጽ የሚከተሉትን ክፍሎች ያስፈልጉን ይሆናል:

የመሣሪያ ማጠቃለያ - የውሂብ ሉህ የመጀመሪያ ገጽ መሣሪያውን በአጭሩ ይገልጻል። በሁኔታዎች ውስጥ በጣም ጠቃሚ የሆነ ቺፕ የሆነ ቦታ ሲያገኙ (በሱቅ ውስጥ አይተውታል, ሲሸጡት, ሲጠቅሱ ሲመለከቱ) እና ምን እንደሆነ ለመረዳት ይፈልጋሉ.

አጠቃላይ መግለጫ - ከመስመሩ ላይ ስለ ቺፕስ ችሎታዎች የበለጠ ዝርዝር መግለጫ።

Pinouts - ለሁሉም ሊሆኑ የሚችሉ ቺፕ ጥቅሎች (የየትኛው ሚስማር በየትኛው እግር ላይ እንዳለ) የፒንዮት ሥዕላዊ መግለጫዎች።

የፒን መግለጫ - የእያንዳንዱ ፒን ዓላማ እና ችሎታዎች መግለጫ።

የማህደረ ትውስታ ካርታ - በማህደረ ትውስታ ውስጥ የአድራሻ ካርታ አንፈልግም ፣ ግን አንዳንድ ጊዜ የመመዝገቢያ ሠንጠረዥን ያጠቃልላል ።

ካርታ ይመዝገቡ - የመመዝገቢያ ብሎኮች አድራሻዎች ሰንጠረዥ ፣ እንደ አንድ ደንብ ፣ በውሂብ ሉህ ውስጥ እና በ ውስጥ ይገኛል። የማጣቀሻ መመሪያ - ለውጦች ብቻ (የአድራሻ ማካካሻዎች).

የኤሌክትሪክ ባህሪያት - በዚህ ክፍል ውስጥ እኛ በዋነኝነት ፍላጎት አለን ፍጹም ከፍተኛ ደረጃዎች, በአንድ ቺፕ ከፍተኛውን ጭነት መዘርዘር. ከማይበላሽው Atmega328p በተለየ፣ አብዛኛው MKs ከባድ ሸክሞችን ከፒን ጋር እንዲያገናኙ አይፈቅዱልዎትም፣ ይህም ለአርዱኢኒስቶች ደስ የማይል ነገር ይሆናል።

የጥቅል መረጃ - የሚገኙትን ጉዳዮች ስዕሎች ፣ ሰሌዳዎችዎን ሲነድፉ ጠቃሚ።

የማጣቀሻ መመሪያ መዋቅራዊ በሆነ መልኩ በርዕሳቸው ላይ ለተገለጹት ልዩ ክፍሎች የተሰጡ ክፍሎችን ያቀፈ ነው። እያንዳንዱ ምዕራፍ በሦስት ክፍሎች ሊከፈል ይችላል.

አጠቃላይ እይታ, መግቢያ, ዋና መለያ ጸባያት - የከባቢያዊ ችሎታዎች አጠቃላይ እይታ;

የተግባር መግለጫ, የአጠቃቀም መመሪያ ወይም በቀላሉ የክፍሉ ዋና እገዳ - ስለ ተጓዳኝ መሣሪያ መርሆዎች እና እንዴት እንደሚጠቀሙበት ዝርዝር የጽሑፍ መግለጫ;

መዝጋቢዎች - የቁጥጥር መዝገቦች መግለጫ. እንደ GPIO ወይም SPI ባሉ ቀላል ጉዳዮች ይህ ተጓዳኝ ክፍሎችን መጠቀም ለመጀመር በቂ ሊሆን ይችላል ነገር ግን ብዙውን ጊዜ የቀደሙትን ክፍሎች ማንበብ አለብዎት.

የውሂብ ሉሆችን እንዴት ማንበብ እንደሚቻል

የውሂብ ሉሆች፣ ከልምዳቸው የተነሳ፣ በድምፅ እና ለመረዳት በማይችሉ ቃላት ያስፈራዎታል። እንደ እውነቱ ከሆነ, ጥቂት የህይወት ጠለፋዎችን ካወቁ ሁሉም ነገር በጣም አስፈሪ አይደለም.

ጫን ጥሩ ፒዲኤፍ አንባቢ. ዳታ ሉሆች የተፃፉት በከበረ የወረቀት መመሪያ ወግ ነው፤ ለማተም፣ በፕላስቲክ ዕልባቶች አስገብተው በመስፋት ጥሩ ናቸው። በውስጣቸው ያለው ሃይፐር ጽሁፍ በክትትል መጠን ይስተዋላል። እንደ እድል ሆኖ, ቢያንስ የሰነዱ መዋቅር በዕልባቶች የተነደፈ ነው, ስለዚህ ቀላል አሰሳ ያለው ተስማሚ አንባቢ በጣም አስፈላጊ ነው.

የውሂብ ሉህ የስትሮስትሩፕ መማሪያ መጽሐፍ አይደለም፤ በውስጡ ይዟል ሁሉንም ነገር ማንበብ አያስፈልግም. የቀደመውን ምክር ከተጠቀሙ, የሚፈልጉትን ክፍል በዕልባቶች አሞሌ ውስጥ ብቻ ያግኙ.

የውሂብ ሉሆች፣ በተለይ የማጣቀሻ ማኑዋሎች, የተወሰነ ቺፕ ሳይሆን ችሎታዎችን መግለጽ ይችላል መላውን መስመር. ይህ ማለት ግማሽ ወይም ሁለት ሶስተኛው መረጃ ከእርስዎ ቺፕ ጋር የተገናኘ አይደለም ማለት ነው። የቲም 7 መዝገቦችን ከማጥናትዎ በፊት ይግቡ አጠቃላይ መግለጫ, አለህ?

ማወቅ ነው እንግሊዝኛ በቂ መሰረታዊ ደረጃ. የውሂብ ሉህ ግማሹን ለአማካይ ተናጋሪው የማይታወቁ ቃላትን እና ግማሹን ቀላል የግንኙነት መዋቅሮችን ያካትታል። በቻይንኛ እንግሊዝኛ ውስጥ በጣም ጥሩ የቻይንኛ ዳታ ሉሆችም አሉ ፣ ግማሹ እንዲሁ ውሎች ፣ እና ሁለተኛው አጋማሽ የዘፈቀደ የቃላት ስብስብ ናቸው።

ከተገናኙ የማይታወቅ ቃል, የእንግሊዝኛ-ሩሲያኛ መዝገበ-ቃላትን በመጠቀም ለመተርጎም አይሞክሩ. ግራ ከገባህ መላምት, ከዚያም "hysteresis" የሚለው ትርጉሙ የበለጠ እንዲሞቅ አያደርግዎትም. አስፈላጊው ፅንሰ-ሀሳብ ወደሚገኝበት ጎግል፣ ስታክ ኦቨርፍሰት፣ ዊኪፔዲያ፣ መድረኮችን ተጠቀም በምሳሌዎች በቀላል ቃላት ተብራርቷል.

ያነበቡትን ለመረዳት ከሁሉ የተሻለው መንገድ ነው። በተግባር ላይ ያረጋግጡ. ስለዚህ፣ የሆነ ነገር በተሳሳተ መንገድ ከተረዱ እና አስማታዊ ጭስ ካዩ እራስዎን በደንብ የሚያውቁትን ወይም በተሻለ ሁኔታ የማረሚያ ሰሌዳውን በእጅዎ ይያዙ።

በሚያደርጉበት ጊዜ የውሂብ ሉህ ጠቃሚ ሆኖ እንዲቆይ ማድረግ ጥሩ ልማድ ነው። የአንድን ሰው አጋዥ ስልጠና ማንበብ ወይም የሌላ ሰው ቤተ መጻሕፍትን ማጥናት። በእሱ ውስጥ ለችግሮችዎ የበለጠ ጥሩ መፍትሄ ማግኘት ይቻላል ። እና በተገላቢጦሽ - መዝገቡ በትክክል እንዴት እንደሚሰራ ከመረጃ ወረቀቱ መረዳት ካልቻሉ ጎግል ያድርጉት፡ ምናልባት አንድ ሰው ሁሉንም ነገር በቀላል ቃላት ገልጾታል ወይም በ GitHub ላይ ግልጽ የሆነ ኮድ ትቶታል።

መዝገበ ቃላት

የውሂብ ሉሆችን በፍጥነት እንዲላመዱ የሚያግዙዎት አንዳንድ ጠቃሚ ቃላት እና ምልክቶች። ባለፉት ሁለት ቀናት ውስጥ ያስታውሰኝ ነገር, ተጨማሪዎች እና እርማቶች እንኳን ደህና መጡ.

ኤሌክትሪክ
ቪ.ሲ., ቪዲድ - “ፕላስ” ፣ ምግብ
ቪስ, Vee - "መቀነስ", ምድር
የአሁኑ - ወቅታዊ
የኤሌክትሪክ ኃይል መጠን - ቮልቴጅ
የአሁኑን መስመጥ - ለውጫዊ ጭነት እንደ "መሬት" ይስሩ
ወደ ምንጭ የአሁኑ - የኃይል ውጫዊ ጭነት
ከፍተኛ ማጠቢያ / ምንጭ ፒን - ለመጫን "መቻቻል" በመጨመር ፒን

IO
ኤች, ከፍተኛ - በቪሲሲ ፒን ላይ
ኤል፣ ዝቅተኛ - በቪኤስኤስ ፒን ላይ
ከፍተኛ ተጽዕኖ, ሰላም- Z, ተንሳፋፊ - በፒን ላይ ምንም ነገር የለም, "ከፍተኛ ተቃውሞ", ለውጫዊው ዓለም የማይታይ ነው.
ደካማ ወደ ላይ መሳብ, ደካማ ወደታች መሳብ - አብሮገነብ ወደ ላይ የሚጎትት/ወደታች ተከላካይ፣ በግምት ከ 50 kOhm (የውሂብ ሉህ ይመልከቱ)። ለምሳሌ የግቤት ፒን በአየር ውስጥ እንዳይንጠለጠል, የውሸት ማንቂያዎችን ለመከላከል ጥቅም ላይ ይውላል. ደካማ - እሱን "ማቋረጥ" ቀላል ስለሆነ.
መግፋት - በመካከላቸው የሚቀያየርበት የፒን ውፅዓት ሁኔታ ከፍ ያለ и ዝቅ ያለ - መደበኛ UTPUT ከአርዱዪኖ።
ክፍት የፍሳሽ ማስወገጃ - ፒን ወይም ሊሆን የሚችልበት የውጤት ሁነታ ስያሜ ዝቅ ያለ, ወይም ከፍተኛ ግፊት/ተንሳፋፊ. በተጨማሪም ፣ ሁል ጊዜ ይህ “እውነተኛ” ክፍት የፍሳሽ ማስወገጃ አይደለም ፣ የመከላከያ ዳዮዶች ፣ ተቃዋሚዎች እና ምን አለ ። ይህ በቀላሉ ለመሬት/ምንም ሁነታ ስያሜ ነው።
እውነተኛ ክፍት የፍሳሽ ማስወገጃ ነገር ግን ይህ እውነተኛ ክፍት የፍሳሽ ማስወገጃ ነው: ፒኑ ክፍት ከሆነ በቀጥታ ወደ መሬት ይመራል ወይም ከተዘጋ በሊምቦ ውስጥ ይቆያል. ይህ ማለት አስፈላጊ ከሆነ ከቪሲሲ የበለጠ ቮልቴጅ ማለፍ ይቻላል, ነገር ግን ከፍተኛው አሁንም በክፍል ውስጥ ባለው የውሂብ ሉህ ውስጥ ይገለጻል. ፍፁም ከፍተኛ ደረጃ አሰጣጦች/ቮልቴጅ.

በይነገሮች
በተከታታይ - በተከታታይ ተገናኝቷል
ወደ ሰንሰለት - ተከታታይ ግንኙነትን በመጠቀም ቺፖችን ወደ ሰንሰለት ያሰባስቡ ፣ የውጤቶች ብዛት ይጨምሩ።
shift - shift ፣ ብዙውን ጊዜ ትንሽ ለውጥን ያሳያል። በቅደም ተከተል፣ ውስጥ ለመቀየር и ለመውጣት - ውሂብን በጥቂቱ መቀበል እና ማስተላለፍ።
መከለያ - ቢትስ በእሱ ውስጥ ሲቀያየር ቋቱን የሚሸፍን መቆለፊያ። ዝውውሩ ሲጠናቀቅ ቫልዩ ይከፈታል እና ቢትስ መስራት ይጀምራል.
ሰዓት ውስጥ ለመግባት - ትንሽ-በ-ቢት ማስተላለፍን ያከናውኑ ፣ ሁሉንም ቢት ወደ ትክክለኛ ቦታዎች ያዙሩ።
ድርብ ቋት, ጥላ መዝገብ, ቅድመ ጭነት መዝገብ - የታሪክ ስያሜዎች ፣ መዝገቡ አዲስ ውሂብ መቀበል መቻል ሲኖርበት ፣ ግን እስከ የተወሰነ ጊዜ ድረስ ያቆዩት። ለምሳሌ, PWM በትክክል እንዲሰራ, የእሱ መመዘኛዎች (የግዴታ ዑደት, ድግግሞሽ) የአሁኑ ዑደት እስኪያልቅ ድረስ መለወጥ የለበትም, ነገር ግን አዲስ መለኪያዎች ቀድሞውኑ ሊተላለፉ ይችላሉ. በዚህ መሠረት, አሁን ያሉት በ ጥላ መዝገብ, እና አዳዲሶች ውስጥ ይወድቃሉ ቅድመ ጭነት መዝገብ, ለሚዛመደው ቺፕ መዝገብ እየተፃፈ ነው.

ሁሉም ዓይነት ነገሮች
prescaler - ድግግሞሽ prescaler
ትንሽ ለማዘጋጀት - ቢት ወደ 1 አቀናብር
ለማጽዳት/ትንሽ እንደገና ለማስጀመር - ትንሽ ወደ 0 ዳግም አስጀምር (ዳግም አስጀምር - STM የውሂብ ሉህ ባህሪ)

የሚቀጥለው ምንድነው

በአጠቃላይ፣ እዚህ ላይ ተግባራዊ የሆነ ክፍል ታቅዶ በSTM32 እና STM8 ላይ ያሉ ሶስት ፕሮጀክቶችን በማሳየት በተለይ ለዚህ ጽሁፍ በዳታ ሉሆች የተሰሩ፣ ከብርሃን አምፖሎች፣ SPI፣ የሰዓት ቆጣሪዎች፣ PWM እና ማቋረጦች ጋር፡-

ማይክሮ መቆጣጠሪያዎ የትርፍ ጊዜ ማሳለፊያዎ ከሆነ እንዴት እና ለምን የውሂብ ሉሆችን ማንበብ እንደሚችሉ

ግን ብዙ ጽሑፍ አለ, ስለዚህ ፕሮጀክቶቹ ወደ ሁለተኛው ክፍል ይላካሉ.

የውሂብ ሉሆችን የማንበብ ክህሎት በትርፍ ጊዜዎ ውስጥ ይረዱዎታል፣ ነገር ግን በመድረኮች እና ቻቶች ላይ የቀጥታ ግንኙነትን ከትርፍ ጊዜኞች ጋር የመተካት እድሉ አነስተኛ ነው። ለዚህ ዓላማ፣ አሁንም በመጀመሪያ እንግሊዝኛዎን ማሻሻል ያስፈልግዎታል። ስለዚህ አንብበው ያጠናቀቁት ልዩ ሽልማት ያገኛሉ፡- በስካይንግ ውስጥ ሁለት የነፃ ትምህርቶች ኮድን በመጠቀም ከመጀመሪያው ክፍያ ጋር HABR2.

ምንጭ: hab.com

አስተያየት ያክሉ