الموضوع: بلوق

الافراج عن DBMS libmdbx 0.11.7. انقل التطوير إلى GitFlic بعد الإغلاق على GitHub

تم إصدار مكتبة libmdbx 0.11.7 (MDBX) مع تنفيذ قاعدة بيانات ذات قيمة رئيسية مدمجة عالية الأداء. تم ترخيص كود libmdbx بموجب ترخيص OpenLDAP العام. يتم دعم جميع أنظمة التشغيل والبنيات الحالية، بالإضافة إلى Elbrus 2000 الروسي. يتميز الإصدار بترحيل المشروع إلى خدمة GitFlic بعد إدارة GitHub […]

تفتح إنتل كود PSE Block Firmware الخاص بشرائح Elkhart Lake

فتحت Intel البرنامج الثابت المصدر لوحدة PSE (محرك الخدمات القابلة للبرمجة)، والتي بدأت الشحن في معالجات عائلة Elkhart Lake، مثل Atom x6000E، المُحسّنة للاستخدام في أجهزة إنترنت الأشياء. الرمز مفتوح بموجب ترخيص Apache 2.0. PSE عبارة عن نواة معالج ARM Cortex-M7 إضافية تعمل في وضع الطاقة المنخفضة. يمكن استخدام PSE لأداء […]

ثغرة أمنية في وحدات فك ترميز MediaTek و Qualcomm ALAC التي تؤثر على معظم أجهزة Android

حددت Check Point ثغرة أمنية في أجهزة فك ترميز ضغط الصوت ALAC (Apple Lossless Audio Codec) التي تقدمها MediaTek (CVE-2021-0674، CVE-2021-0675) وQualcomm (CVE-2021-30351). تسمح المشكلة بتنفيذ تعليمات برمجية للمهاجم عند معالجة البيانات المنسقة خصيصًا بتنسيق ALAC. ويتفاقم خطر الثغرة الأمنية بسبب حقيقة أنها تؤثر على الأجهزة التي تعمل بنظام التشغيل Android والمجهزة بشرائح MediaTek وQualcomm. نتيجة ل […]

يطور مشروع VeriGPU وحدة معالجة رسومات مفتوحة بلغة Verilog

يهدف مشروع VeriGPU إلى إنشاء وحدة معالجة رسومات مفتوحة تم تطويرها بلغة Verilog لوصف الأنظمة الإلكترونية ونمذجتها. في البداية، تم تطوير المشروع باستخدام جهاز محاكاة Verilog، ولكن بمجرد اكتماله يمكن استخدامه لإنتاج رقائق حقيقية. يتم توزيع تطورات المشروع بموجب ترخيص MIT. تم وضع VeriGPU كمعالج خاص بالتطبيقات (ASIC) مُحسّن لتسريع العمليات الحسابية المتعلقة بأنظمة التعلم الآلي. […]

يدعم تطبيق Mesa's Rust لـ OpenCL الآن OpenCL 3.0

لقد نجح تطبيق OpenCL الجديد (rusticl)، المكتوب بلغة Rust، والذي يتم تطويره لمشروع Mesa، في اجتياز مجموعة اختبار CTS (Kronos Conformance Test Suite) التي يستخدمها اتحاد Khronos لتقييم التوافق مع مواصفات OpenCL 3.0. تم تطوير المشروع بواسطة Karol Herbst من Red Hat، الذي يشارك في تطوير Mesa، وبرنامج التشغيل Nouveau، والمكدس المفتوح OpenCL. يشار إلى أن كارول […]

تم نشر HPVM 2.0 ، مترجم لوحدة المعالجة المركزية ووحدة معالجة الرسومات و FPGA ومسرعات الأجهزة

أعلن مشروع LLVM عن إطلاق HPVM 2.0 (الآلة الافتراضية المتوازية غير المتجانسة)، وهو مترجم يهدف إلى تبسيط برمجة الأنظمة غير المتجانسة وتوفير أدوات إنشاء التعليمات البرمجية لوحدات المعالجة المركزية (CPUs) ووحدات معالجة الرسومات (GPU) وFPGAs ومسرعات الأجهزة الخاصة بالمجال. يتم توزيع رمز المشروع بموجب ترخيص Apache 2.0. البرمجة للأنظمة المتوازية غير المتجانسة معقدة بسبب وجود مكونات داخل نفس النظام تستخدم […]

إصدار النبيذ 7.7

تم إطلاق إصدار تجريبي لتطبيق WinAPI المفتوح - Wine 7.7. منذ إصدار الإصدار 7.6، تم إغلاق 11 تقريرًا عن الأخطاء وإجراء 374 تغييرًا. أهم التغييرات: تم العمل على نقل برامج التشغيل X11 و OSS (نظام الصوت المفتوح) لاستخدام تنسيق الملف القابل للتنفيذ PE (Portable Executable) بدلاً من ELF. من الممكن استخدام UTF-8 كترميز ANSI […]

إصدار كيدي جير 22.04 ، مجموعة من التطبيقات من مشروع كيدي

تم تقديم التحديث الموحد لشهر أبريل للتطبيقات (22.04/232) التي طورها مشروع KDE. للتذكير، تم نشر المجموعة الموحدة من تطبيقات KDE تحت اسم KDE Gear منذ أبريل، بدلاً من تطبيقات KDE وتطبيقات KDE. في المجمل، تم نشر XNUMX إصدارًا من البرامج والمكتبات والمكونات الإضافية كجزء من التحديث. يمكن العثور على معلومات حول توفر الإصدارات المباشرة مع إصدارات التطبيقات الجديدة على هذه الصفحة. أبرز الابتكارات: […]

إنتل تطلق SVT-AV1 Video Encoder 1.0

قامت Intel بنشر إصدار مكتبة SVT-AV1 1.0 (تقنية الفيديو القابلة للتطوير AV1)، والتي توفر برنامج تشفير وفك ترميز بديل لتنسيق ترميز الفيديو AV1، والذي يستخدم إمكانات الحوسبة المتوازية للأجهزة الموجودة في وحدات المعالجة المركزية Intel الحديثة. الهدف الرئيسي لـ SVT-AV1 هو تحقيق مستوى من الأداء مناسب لتحويل ترميز الفيديو أثناء التنقل واستخدامه في خدمات الفيديو عند الطلب (VOD). […]

إصدار جديد من نظام سيليرو لتركيب الكلام

يتوفر إصدار عام جديد لنظام تركيب الكلام عبر الشبكة العصبية Silero لتحويل النص إلى كلام. يهدف المشروع في المقام الأول إلى إنشاء نظام تركيب كلام حديث وعالي الجودة ليس أقل شأنا من الحلول التجارية المقدمة من الشركات ويمكن للجميع الوصول إليه دون استخدام معدات خادم باهظة الثمن. يتم توزيع النماذج بموجب ترخيص GNU AGPL، لكن الشركة المطورة للمشروع لا تكشف عن آلية تدريب النماذج. للبداية […]

GitHub مغلق مستودع SymPy بعد تقديم شكوى كاذبة

قام GitHub بحظر المستودع بالوثائق الرسمية لمشروع SymPy والموقع الإلكتروني docs.sympy.org المستضاف على خوادم GitHub بعد تلقي شكوى بشأن انتهاك حقوق الطبع والنشر من شركة HackerRank، وهي شركة متخصصة في إجراء المسابقات بين المطورين وتوظيف المبرمجين. تم تنفيذ الحظر على أساس قانون الألفية الجديدة لحقوق طبع ونشر المواد الرقمية (DMCA) المعمول به في الولايات المتحدة الأمريكية. وبعد احتجاج المجتمع، سحبت HackerRank الشكوى […]

تم تلخيص نتائج انتخاب قائد مشروع دبيان

تم الإعلان عن نتائج الانتخابات السنوية لقائد مشروع دبيان. شارك 354 مطورًا في التصويت، وهو ما يمثل 34% من جميع المشاركين الذين لديهم حقوق التصويت (بلغت نسبة المشاركة في العام الماضي 44%، وفي العام الذي سبقه 33%). وشارك في الانتخابات هذا العام ثلاثة مرشحين للقيادة. فاز جوناثان كارتر وأعيد انتخابه لولاية ثالثة. […]