Müzakirə: OpenROAD layihəsi prosessor dizaynının avtomatlaşdırılması problemini həll etmək niyyətindədir

Müzakirə: OpenROAD layihəsi prosessor dizaynının avtomatlaşdırılması problemini həll etmək niyyətindədir
Фото - Pexels - CC BY

Haqqında Uyğun olaraq PWC, yarımkeçirici texnologiya bazarı böyüyür - keçən il bu, 481 milyard dollara çatıb. Amma son vaxtlar onun artım tempi azalıb. Tənəzzülün səbəbləri arasında çaşqınlıq yaradan cihaz dizayn prosesləri və avtomatlaşdırmanın olmaması daxildir.

Bir neçə il əvvəl, Intel-dən mühəndislər писалиyüksək performanslı mikroprosessor yaradarkən 100-150 ayrı proqram alətindən istifadə etməli olduğunuz (VƏ NƏDƏN). Arxitekturasına bir neçə müxtəlif növ çip - ASIC, FPGA, CPU və ya GPU daxil olan heterojen cihazlarda vəziyyət daha da ağırlaşa bilər. Nəticədə məhsulların buraxılmasını gecikdirən dizayn səhvləri baş verir.

Çox sayda köməkçi alətə baxmayaraq, mühəndislər hələ də bəzi işləri əl ilə görməyə məcburdurlar. Kitabın müəllifləri "Qabaqcıl Məntiq Sintezi"deyirlər bəzən dizaynerlər olmalıdır ilə kitabxanalar yaratmaq üçün iki milyon sətirdən ibarət Skill və ya Python-da skriptlər yazın hüceyrələr.

Skriptlər həmçinin EDA sistemləri tərəfindən yaradılan hesabatları təhlil etmək üçün yazılır. 22nm proses texnologiyasından istifadə edərək çip hazırlayarkən, bu hesabatlar 30 terabata qədər çəkə bilər.

DARPA vəziyyəti düzəltməyə qərar verdi və dizayn proseslərini standartlaşdırmağa çalışdı. Agentlikdə də düşünməkçiplərin yaradılması üçün mövcud üsulların köhnəldiyini. Təşkilat başlatdı beş illik proqram Açıq YOL, çip dizayn proseslərini avtomatlaşdırmaq üçün yeni alətlər hazırlamaq məqsədi daşıyır.

Proqram nədir

Proqram çip yaradılmasının fərdi mərhələlərini avtomatlaşdırmaq üçün maşın öyrənməsi və bulud texnologiyalarından istifadə edən bir neçə layihəni əhatə edir. Təşəbbüsün bir hissəsi kimi inkişaf etdirilir (diaqram 1) ondan çox alət. Sonra onlardan bəziləri haqqında daha ətraflı danışacağıq: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner RTL və GDSII kitabxanalarını idarə etmək üçün bir vasitədir. Sonuncular inteqral sxemlər və onların topologiyaları haqqında məlumat mübadiləsi üçün sənaye standartı olan verilənlər bazası fayllarıdır. Həll Docker konteyner texnologiyasına əsaslanır. Flow Runner-ı həm buludda, həm də yerli olaraq işlədə bilərsiniz. Quraşdırma təlimatı rəsmi depodadır GitHub-da.

DƏYİŞİN komponentlərin çipdə yerləşdirilməsi və marşrutlaşdırmanın avtomatlaşdırılması üçün cavabdeh olan maşın öyrənməsinə əsaslanan bulud həllidir. By bəzi məlumatlar, ağıllı alqoritmlər klassik sistemlərlə müqayisədə alətin səmərəliliyini 2-10% artırır. Bundan əlavə, buludda tətbiq miqyası asanlaşdırır. Quraşdırma və konfiqurasiya təlimatı da mövcuddur depoda.

TritonCTS — çipə verilən saat impulslarını optimallaşdırmaq üçün köməkçi proqram. Eyni gecikmələrlə saat siqnallarını cihazın bütün hissələrinə yönləndirməyə kömək edir. Əməliyyat prinsipi əsaslanır H-ağacları. Bu yanaşma qaldırır ənənəvi üsullarla müqayisədə 30% siqnal paylama səmərəliliyi. Tərtibatçılar deyirlər ki, gələcəkdə bu rəqəmi 56%-ə çatdırmaq olar. TritonCTS mənbə kodu və skriptləri mövcuddur GitHub-da.

OpenSTA — statik vaxt təhlili üçün mühərrik. O, konstruktora çipin əslində yığılmamışdan əvvəl onun funksionallığını yoxlamaq imkanı verir. OpenSTA-da kod nümunəsi kimi görünür bunun kimi.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Utilit Verilog kodunun netlist təsvirlərini, Liberty format kitabxanalarını, SDC fayllarını və s. dəstəkləyir.

Üstünlüklər və mənfi cəhətlər

IBM və IEEE ekspertləri qeyd etməkbulud texnologiyaları və maşın öyrənməsi çip istehsalında istifadə üçün çoxdan gecikmişdir. Onların fikrincə, DARPA layihəsi bu ideyanın həyata keçirilməsinin uğurlu nümunəsinə çevrilə bilər və qoyacaq sənayedə dəyişikliklərin başlanğıcı.

OpenROAD-ın açıq təbiətinin alətlər ətrafında güclü icma yaradacağı və yeni startapları cəlb edəcəyi də gözlənilir.

Müzakirə: OpenROAD layihəsi prosessor dizaynının avtomatlaşdırılması problemini həll etmək niyyətindədir
Фото - Pexels - CC BY

Artıq iştirakçılar var - Miçiqan Universitetində çiplər hazırlayan laboratoriya, birinci olacaqOpenROAD açıq mənbə alətlərini sınaqdan keçirəcək. Ancaq yeni həllərin son məhsulların maya dəyərinə nəzərəçarpacaq dərəcədə təsir göstərə biləcəyi hələ məlum deyil.

Ümumilikdə, DARPA-nın rəhbərliyi altında hazırlanan alətlərin prosessor sənayesinə müsbət təsir edəcəyi və bu sahədə daha çox yeni layihələrin meydana çıxmağa başlayacağı gözlənilir. Bir nümunə bir vasitə ola bilər GEDA — o, limitsiz sayda komponentləri olan çipləri dizayn etməyə imkan verir. gEDA mikrosxemləri və lövhənin marşrutunu redaktə etmək və modelləşdirmək üçün kommunal proqramları əhatə edir. Həll UNIX platformaları üçün hazırlanmışdır, lakin onun bir sıra komponentləri Windows altında da işləyir. Onlarla işləmək üçün təlimat tapa bilərsiniz layihənin veb saytındakı sənədlərdə.

Sərbəst mövcud olan vasitələr müstəqil təşkilatlara və startaplara daha çox seçim imkanı verir. Mümkündür ki, zaman keçdikcə OpenROAD-ın EDA alətlərinin hazırlanmasına və çip dizaynına yeni yanaşmaları sənaye standartına çevrilə bilər.

Korporativ bloqumuzda nələr haqqında yazırıq:

Mənbə: www.habr.com

Добавить комментарий