DPI ইন্টারফেস এবং FPGA বোর্ডের মাধ্যমে রাস্পবেরি Pi3 থেকে দ্বিতীয় HDMI মনিটর


এই ভিডিওটি দেখায়: একটি রাস্পবেরি Pi3 বোর্ড, GPIO সংযোগকারীর মাধ্যমে এটির সাথে সংযুক্ত একটি FPGA বোর্ড Mars Rover2rpi (সাইক্লোন IV), যার সাথে একটি HDMI মনিটর সংযুক্ত রয়েছে৷ দ্বিতীয় মনিটরটি রাস্পবেরি Pi3 এর স্ট্যান্ডার্ড HDMI সংযোগকারীর মাধ্যমে সংযুক্ত। দ্বৈত মনিটর সিস্টেমের মতো সবকিছু একসাথে কাজ করে।

পরবর্তী আমি আপনাকে বলব কিভাবে এটি বাস্তবায়িত হয়।

জনপ্রিয় রাস্পবেরি Pi3 বোর্ডে একটি GPIO সংযোগকারী রয়েছে যার মাধ্যমে আপনি বিভিন্ন সম্প্রসারণ কার্ড সংযুক্ত করতে পারেন: সেন্সর, এলইডি, স্টেপার মোটর ড্রাইভার এবং আরও অনেক কিছু। একটি সংযোগকারীর প্রতিটি পিনের সঠিক ফাংশন পোর্ট কনফিগারেশনের উপর নির্ভর করে। GPIO ALT2 কনফিগারেশন আপনাকে সংযোগকারীকে DPI ইন্টারফেস মোডে, সমান্তরাল ইন্টারফেস প্রদর্শন করতে দেয়। ডিপিআই-এর মাধ্যমে ভিজিএ মনিটর সংযোগ করার জন্য সম্প্রসারণ কার্ড রয়েছে। যাইহোক, প্রথমত, ভিজিএ মনিটরগুলি আর HDMI-এর মতো সাধারণ নয় এবং দ্বিতীয়ত, ডিজিটাল ইন্টারফেসটি অ্যানালগগুলির চেয়ে ক্রমবর্ধমান উন্নত হচ্ছে৷ তাছাড়া, এই ধরনের VGA সম্প্রসারণ বোর্ডের DAC সাধারণত R-2-R চেইনের আকারে তৈরি হয় এবং প্রায়শই প্রতি রঙে 6 বিটের বেশি হয় না।

ALT2 মোডে, GPIO সংযোগকারী পিনের নিম্নলিখিত অর্থ রয়েছে:

DPI ইন্টারফেস এবং FPGA বোর্ডের মাধ্যমে রাস্পবেরি Pi3 থেকে দ্বিতীয় HDMI মনিটর

এখানে আমি সংযোগকারীর আরজিবি পিনগুলিকে যথাক্রমে লাল, সবুজ এবং নীল রঙে রঙ করেছি। অন্যান্য গুরুত্বপূর্ণ সংকেত হল V-SYNC এবং H-SYNC সংকেত, সেইসাথে CLK। CLK ঘড়ির ফ্রিকোয়েন্সি হল সেই ফ্রিকোয়েন্সি যেখানে পিক্সেল মানগুলি সংযোগকারীতে আউটপুট হয়; এটি নির্বাচিত ভিডিও মোডের উপর নির্ভর করে।

একটি ডিজিটাল HDMI মনিটর সংযোগ করতে, আপনাকে ইন্টারফেসের DPI সংকেতগুলি ক্যাপচার করতে হবে এবং সেগুলিকে HDMI সংকেতে রূপান্তর করতে হবে। এটি করা যেতে পারে, উদাহরণস্বরূপ, কিছু ধরণের FPGA বোর্ড ব্যবহার করে। দেখা যাচ্ছে, Mars Rover2rpi বোর্ড এই উদ্দেশ্যে উপযুক্ত। প্রকৃতপক্ষে, একটি বিশেষ অ্যাডাপ্টারের মাধ্যমে এই বোর্ডটি সংযোগ করার জন্য প্রধান বিকল্পটি এইরকম দেখাচ্ছে:

DPI ইন্টারফেস এবং FPGA বোর্ডের মাধ্যমে রাস্পবেরি Pi3 থেকে দ্বিতীয় HDMI মনিটর

এই বোর্ডটি GPIO পোর্টের সংখ্যা বাড়াতে এবং রাস্পবেরির সাথে আরও পেরিফেরাল ডিভাইস সংযোগ করতে ব্যবহৃত হয়। একই সময়ে, এই সংযোগের সাথে 4টি GPIO সংকেত JTAG সংকেতের জন্য ব্যবহার করা হয়, যাতে রাস্পবেরি থেকে প্রোগ্রামটি FPGA ফার্মওয়্যারে FPGA তে লোড করতে পারে। এই কারণে, এই মানক সংযোগ আমার জন্য উপযুক্ত নয়; 4টি DPI সংকেত ড্রপ আউট। সৌভাগ্যক্রমে, বোর্ডের অতিরিক্ত চিরুনিগুলিতে একটি রাস্পবেরি-সামঞ্জস্যপূর্ণ পিনআউট রয়েছে। তাই আমি বোর্ডটিকে 90 ডিগ্রি ঘোরাতে পারি এবং এখনও এটি আমার রাস্পবেরির সাথে সংযুক্ত করতে পারি:

DPI ইন্টারফেস এবং FPGA বোর্ডের মাধ্যমে রাস্পবেরি Pi3 থেকে দ্বিতীয় HDMI মনিটর

অবশ্যই, আপনাকে একটি বহিরাগত JTAG প্রোগ্রামার ব্যবহার করতে হবে, তবে এটি কোনও সমস্যা নয়।

এখনও একটি ছোট সমস্যা আছে. প্রতিটি FPGA পিন একটি ঘড়ি ইনপুট হিসাবে ব্যবহার করা যাবে না. এই উদ্দেশ্যে ব্যবহার করা যেতে পারে যে শুধুমাত্র কয়েক ডেডিকেটেড পিন আছে. সুতরাং এখানে দেখা গেল যে GPIO_0 CLK সংকেত FPGA ইনপুটে পৌঁছায় না, যা একটি FPGA ঘড়ি ইনপুট হিসাবে ব্যবহার করা যেতে পারে। তাই আমাকে এখনও স্কার্ফের উপর একটি তার লাগাতে হয়েছিল। আমি GPIO_0 এবং বোর্ডের KEY[1] সংকেত সংযুক্ত করি:

DPI ইন্টারফেস এবং FPGA বোর্ডের মাধ্যমে রাস্পবেরি Pi3 থেকে দ্বিতীয় HDMI মনিটর

এখন আমি আপনাকে FPGA প্রকল্প সম্পর্কে কিছু বলব। এইচডিএমআই সিগন্যাল তৈরিতে প্রধান অসুবিধা হল খুব উচ্চ ফ্রিকোয়েন্সি। আপনি যদি HDMI সংযোগকারী পিনআউটটি দেখেন, আপনি দেখতে পাবেন যে RGB সংকেতগুলি এখন সিরিয়াল ডিফারেনশিয়াল সংকেত:

DPI ইন্টারফেস এবং FPGA বোর্ডের মাধ্যমে রাস্পবেরি Pi3 থেকে দ্বিতীয় HDMI মনিটর

একটি ডিফারেনশিয়াল সিগন্যাল ব্যবহার আপনাকে ট্রান্সমিশন লাইনে সাধারণ মোড হস্তক্ষেপের বিরুদ্ধে লড়াই করতে দেয়। এই ক্ষেত্রে, প্রতিটি রঙের সংকেতের মূল আট-বিট কোডটি 10-বিট TMDS (ট্রানজিশন-মিনিমাইজ ডিফারেনশিয়াল সিগন্যালিং) এ রূপান্তরিত হয়। এটি একটি বিশেষ কোডিং পদ্ধতি যা সিগন্যাল থেকে ডিসি কম্পোনেন্ট অপসারণ করে এবং ডিফারেনশিয়াল লাইনে সিগন্যাল স্যুইচিং কমিয়ে দেয়। যেহেতু 10 বিট এখন একটি বাইট রঙের জন্য সিরিয়াল লাইনের উপর প্রেরণ করা প্রয়োজন, এটি দেখা যাচ্ছে যে সিরিয়ালাইজার ঘড়ির গতি পিক্সেল ঘড়ির গতির চেয়ে 10 গুণ বেশি হতে হবে। যদি আমরা ভিডিও মোড 1280x720 60Hz ধরি, তাহলে এই মোডের পিক্সেল ফ্রিকোয়েন্সি হল 74,25 MHz। সিরিয়ালাইজার 742,5 MHz হওয়া উচিত।

নিয়মিত FPGAs, দুর্ভাগ্যবশত, এটি করতে সক্ষম নয়। যাইহোক, সৌভাগ্যবশত আমাদের জন্য, FPGA-তে বিল্ট-ইন DDIO পিন রয়েছে। এগুলি এমন সিদ্ধান্ত যা ইতিমধ্যেই, যেমনটি ছিল, 2-থেকে-1 সিরিয়ালাইজার৷ অর্থাৎ, তারা ঘড়ির কম্পাঙ্কের ক্রমবর্ধমান এবং পতনের প্রান্তে পর্যায়ক্রমে দুটি বিট আউটপুট করতে পারে। এর মানে হল যে একটি এফপিজিএ প্রকল্পে আপনি 740 মেগাহার্টজ নয়, 370 মেগাহার্টজ ব্যবহার করতে পারেন, তবে আপনাকে এফপিজিএ-তে ডিডিআইও আউটপুট উপাদান ব্যবহার করতে হবে। এখন 370 MHz ইতিমধ্যে একটি সম্পূর্ণরূপে অর্জনযোগ্য ফ্রিকোয়েন্সি। দুর্ভাগ্যবশত, 1280x720 মোড সীমা। Mars Rover2rpi বোর্ডে ইনস্টল করা আমাদের সাইক্লোন IV FPGA-তে উচ্চতর রেজোলিউশন অর্জন করা যাবে না।

সুতরাং, ডিজাইনে, ইনপুট পিক্সেল ফ্রিকোয়েন্সি CLK PLL এ যায়, যেখানে এটি 5 দ্বারা গুণিত হয়। এই ফ্রিকোয়েন্সিতে, R, G, B বাইটগুলি বিট জোড়ায় রূপান্তরিত হয়। TMDS এনকোডার এটিই করে। ভেরিলগ এইচডিএল-এর সোর্স কোডটি এইরকম দেখাচ্ছে:

module hdmi(
	input wire pixclk,		// 74MHz
	input wire clk_TMDS2,	// 370MHz
	input wire hsync,
	input wire vsync,
	input wire active,
	input wire [7:0]red,
	input wire [7:0]green,
	input wire [7:0]blue,
	output wire TMDS_bh,
	output wire TMDS_bl,
	output wire TMDS_gh,
	output wire TMDS_gl,
	output wire TMDS_rh,
	output wire TMDS_rl
);

wire [9:0] TMDS_red, TMDS_green, TMDS_blue;
TMDS_encoder encode_R(.clk(pixclk), .VD(red  ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_red));
TMDS_encoder encode_G(.clk(pixclk), .VD(green), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_green));
TMDS_encoder encode_B(.clk(pixclk), .VD(blue ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_blue));

reg [2:0] TMDS_mod5=0;  // modulus 5 counter
reg [4:0] TMDS_shift_bh=0, TMDS_shift_bl=0;
reg [4:0] TMDS_shift_gh=0, TMDS_shift_gl=0;
reg [4:0] TMDS_shift_rh=0, TMDS_shift_rl=0;

wire [4:0] TMDS_blue_l  = {TMDS_blue[9],TMDS_blue[7],TMDS_blue[5],TMDS_blue[3],TMDS_blue[1]};
wire [4:0] TMDS_blue_h  = {TMDS_blue[8],TMDS_blue[6],TMDS_blue[4],TMDS_blue[2],TMDS_blue[0]};
wire [4:0] TMDS_green_l = {TMDS_green[9],TMDS_green[7],TMDS_green[5],TMDS_green[3],TMDS_green[1]};
wire [4:0] TMDS_green_h = {TMDS_green[8],TMDS_green[6],TMDS_green[4],TMDS_green[2],TMDS_green[0]};
wire [4:0] TMDS_red_l   = {TMDS_red[9],TMDS_red[7],TMDS_red[5],TMDS_red[3],TMDS_red[1]};
wire [4:0] TMDS_red_h   = {TMDS_red[8],TMDS_red[6],TMDS_red[4],TMDS_red[2],TMDS_red[0]};

always @(posedge clk_TMDS2)
begin
	TMDS_shift_bh <= TMDS_mod5[2] ? TMDS_blue_h  : TMDS_shift_bh  [4:1];
	TMDS_shift_bl <= TMDS_mod5[2] ? TMDS_blue_l  : TMDS_shift_bl  [4:1];
	TMDS_shift_gh <= TMDS_mod5[2] ? TMDS_green_h : TMDS_shift_gh  [4:1];
	TMDS_shift_gl <= TMDS_mod5[2] ? TMDS_green_l : TMDS_shift_gl  [4:1];
	TMDS_shift_rh <= TMDS_mod5[2] ? TMDS_red_h   : TMDS_shift_rh  [4:1];
	TMDS_shift_rl <= TMDS_mod5[2] ? TMDS_red_l   : TMDS_shift_rl  [4:1];
	TMDS_mod5 <= (TMDS_mod5[2]) ? 3'd0 : TMDS_mod5+3'd1;
end

assign TMDS_bh = TMDS_shift_bh[0];
assign TMDS_bl = TMDS_shift_bl[0];
assign TMDS_gh = TMDS_shift_gh[0];
assign TMDS_gl = TMDS_shift_gl[0];
assign TMDS_rh = TMDS_shift_rh[0];
assign TMDS_rl = TMDS_shift_rl[0];

endmodule

module TMDS_encoder(
	input clk,
	input [7:0] VD,	// video data (red, green or blue)
	input [1:0] CD,	// control data
	input VDE,  	// video data enable, to choose between CD (when VDE=0) and VD (when VDE=1)
	output reg [9:0] TMDS = 0
);

wire [3:0] Nb1s = VD[0] + VD[1] + VD[2] + VD[3] + VD[4] + VD[5] + VD[6] + VD[7];
wire XNOR = (Nb1s>4'd4) || (Nb1s==4'd4 && VD[0]==1'b0);
wire [8:0] q_m = {~XNOR, q_m[6:0] ^ VD[7:1] ^ {7{XNOR}}, VD[0]};

reg [3:0] balance_acc = 0;
wire [3:0] balance = q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7] - 4'd4;
wire balance_sign_eq = (balance[3] == balance_acc[3]);
wire invert_q_m = (balance==0 || balance_acc==0) ? ~q_m[8] : balance_sign_eq;
wire [3:0] balance_acc_inc = balance - ({q_m[8] ^ ~balance_sign_eq} & ~(balance==0 || balance_acc==0));
wire [3:0] balance_acc_new = invert_q_m ? balance_acc-balance_acc_inc : balance_acc+balance_acc_inc;
wire [9:0] TMDS_data = {invert_q_m, q_m[8], q_m[7:0] ^ {8{invert_q_m}}};
wire [9:0] TMDS_code = CD[1] ? (CD[0] ? 10'b1010101011 : 10'b0101010100) : (CD[0] ? 10'b0010101011 : 10'b1101010100);

always @(posedge clk) TMDS <= VDE ? TMDS_data : TMDS_code;
always @(posedge clk) balance_acc <= VDE ? balance_acc_new : 4'h0;

endmodule

তারপরে আউটপুট জোড়াগুলিকে DDIO আউটপুটে খাওয়ানো হয়, যা ক্রমান্বয়ে ক্রমবর্ধমান এবং পতনের প্রান্তে এক-বিট সংকেত তৈরি করে।

DDIO নিজেই নিম্নলিখিত ভেরিলগ কোড দিয়ে বর্ণনা করা যেতে পারে:

module ddio(
	input wire d0,
	input wire d1,
	input wire clk,
	output wire out
	);

reg r_d0;
reg r_d1;
always @(posedge clk)
begin
	r_d0 <= d0;
	r_d1 <= d1;
end
assign out = clk ? r_d0 : r_d1;
endmodule

তবে এটি সম্ভবত সেভাবে কাজ করবে না। DDIO আউটপুট উপাদানগুলিকে প্রকৃতপক্ষে সক্ষম করতে আপনাকে অল্টারের মেগাফাংশন ALTDIO_OUT ব্যবহার করতে হবে। আমার প্রকল্প ALTDIO_OUT লাইব্রেরি উপাদান ব্যবহার করে।

এই সব একটু চতুর মনে হতে পারে, কিন্তু এটা কাজ করে.

আপনি ভেরিলগ এইচডিএল-এ লেখা সমস্ত সোর্স কোড দেখতে পারেন এখানে গিথুবে.

FPGA-এর জন্য সংকলিত ফার্মওয়্যারটি Mars Rover2rpi বোর্ডে ইনস্টল করা EPCS চিপে ফ্ল্যাশ করা হয়েছে। এইভাবে, যখন শক্তি FPGA বোর্ডে প্রয়োগ করা হয়, FPGA ফ্ল্যাশ মেমরি থেকে শুরু হবে এবং শুরু হবে।

এখন আমাদের রাস্পবেরি নিজেই কনফিগারেশন সম্পর্কে একটু কথা বলতে হবে।

আমি ডেবিয়ান বাস্টার, সংস্করণ: আগস্ট 32-এর উপর ভিত্তি করে রাস্পবেরি PI OS (2020 বিট) এর উপর পরীক্ষা-নিরীক্ষা করছি
প্রকাশের তারিখ: 2020-08-20, কার্নেল সংস্করণ: 5.4.

আপনাকে দুটি জিনিস করতে হবে:

  • config.txt ফাইল সম্পাদনা করুন;
  • দুটি মনিটরের সাথে কাজ করার জন্য একটি X সার্ভার কনফিগারেশন তৈরি করুন।

/boot/config.txt ফাইলটি সম্পাদনা করার সময় আপনার প্রয়োজন:

  1. i2c, i2s, spi এর ব্যবহার অক্ষম করুন;
  2. ওভারলে ব্যবহার করে DPI মোড সক্রিয় করুন dtoverlay=dpi24;
  3. ভিডিও মোড কনফিগার করুন 1280×720 60Hz, ডিপিআই-তে প্রতি পিক্সেল 24 বিট;
  4. প্রয়োজনীয় সংখ্যক ফ্রেমবাফার 2 নির্দিষ্ট করুন (max_framebuffers=2, তবেই দ্বিতীয় ডিভাইস /dev/fb1 প্রদর্শিত হবে)

config.txt ফাইলের সম্পূর্ণ টেক্সট এরকম দেখাচ্ছে।

# For more options and information see
# http://rpf.io/configtxt
# Some settings may impact device functionality. See link above for details

# uncomment if you get no picture on HDMI for a default "safe" mode
#hdmi_safe=1

# uncomment this if your display has a black border of unused pixels visible
# and your display can output without overscan
disable_overscan=1

# uncomment the following to adjust overscan. Use positive numbers if console
# goes off screen, and negative if there is too much border
#overscan_left=16
#overscan_right=16
#overscan_top=16
#overscan_bottom=16

# uncomment to force a console size. By default it will be display's size minus
# overscan.
#framebuffer_width=1280
#framebuffer_height=720

# uncomment if hdmi display is not detected and composite is being output
hdmi_force_hotplug=1

# uncomment to force a specific HDMI mode (this will force VGA)
#hdmi_group=1
#hdmi_mode=1

# uncomment to force a HDMI mode rather than DVI. This can make audio work in
# DMT (computer monitor) modes
#hdmi_drive=2

# uncomment to increase signal to HDMI, if you have interference, blanking, or
# no display
#config_hdmi_boost=4

# uncomment for composite PAL
#sdtv_mode=2

#uncomment to overclock the arm. 700 MHz is the default.
#arm_freq=800

# Uncomment some or all of these to enable the optional hardware interfaces
#dtparam=i2c_arm=on
#dtparam=i2s=on
#dtparam=spi=on

dtparam=i2c_arm=off
dtparam=spi=off
dtparam=i2s=off

dtoverlay=dpi24
overscan_left=0
overscan_right=0
overscan_top=0
overscan_bottom=0
framebuffer_width=1280
framebuffer_height=720
display_default_lcd=0
enable_dpi_lcd=1
dpi_group=2
dpi_mode=87
#dpi_group=1
#dpi_mode=4
dpi_output_format=0x6f027
dpi_timings=1280 1 110 40 220 720 1 5 5 20 0 0 0 60 0 74000000 3

# Uncomment this to enable infrared communication.
#dtoverlay=gpio-ir,gpio_pin=17
#dtoverlay=gpio-ir-tx,gpio_pin=18

# Additional overlays and parameters are documented /boot/overlays/README

# Enable audio (loads snd_bcm2835)
dtparam=audio=on

[pi4]
# Enable DRM VC4 V3D driver on top of the dispmanx display stack
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

[all]
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

এর পরে, আপনাকে দুটি ফ্রেমবাফার /dev/fb0 এবং /dev/fb1-এ দুটি মনিটর ব্যবহার করার জন্য X সার্ভারের জন্য একটি কনফিগারেশন ফাইল তৈরি করতে হবে:

আমার কনফিগারেশন ফাইল /usr/share/x11/xorg.conf.d/60-dualscreen.conf এরকম

Section "Device"
        Identifier      "LCD"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb0"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Device"
        Identifier      "HDMI"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb1"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Monitor"
        Identifier      "LCD-monitor"
        Option          "Primary" "true"
EndSection

Section "Monitor"
        Identifier      "HDMI-monitor"
        Option          "RightOf" "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen0"
        Device          "LCD"
        Monitor         "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen1"
        Device          "HDMI" 
	Monitor         "HDMI-monitor"
EndSection

Section "ServerLayout"
        Identifier      "default"
        Option          "Xinerama" "on"
        Option          "Clone" "off"
        Screen 0        "screen0"
        Screen 1        "screen1" RightOf "screen0"
EndSection

ঠিক আছে, যদি এটি ইতিমধ্যে ইনস্টল করা না থাকে, তাহলে আপনাকে Xinerama ইনস্টল করতে হবে। তারপর ডেস্কটপ স্পেস সম্পূর্ণরূপে দুটি মনিটরে প্রসারিত হবে, যেমন উপরের ডেমো ভিডিওতে দেখানো হয়েছে।

যে সম্ভবত সব. এখন, রাস্পবেরি পাই 3 মালিকরা দুটি মনিটর ব্যবহার করতে সক্ষম হবেন।

Mars Rover2rpi বোর্ডের বর্ণনা এবং সার্কিট ডায়াগ্রাম পাওয়া যাবে এখানে দেখুন.

উত্স: www.habr.com