Panaghisgutan: Ang proyekto sa OpenROAD nagtinguha sa pagsulbad sa problema sa automation sa disenyo sa processor

Panaghisgutan: Ang proyekto sa OpenROAD nagtinguha sa pagsulbad sa problema sa automation sa disenyo sa processor
--ΠžΡ‚ΠΎ - Pexels β€” CC NI

Pinaagi sa gihatag Ang PWC, ang merkado sa teknolohiya sa semiconductor nagkadako - sa miaging tuig miabot kini $481 bilyon. Apan ang rate sa pagtubo niini bag-o lang mikunhod. Ang mga hinungdan sa pagkunhod naglakip sa makalibog nga mga proseso sa pagdesinyo sa aparato ug kakulang sa automation.

Pipila ka tuig ang milabay, ang mga inhenyero gikan sa Intel nagsulatnga sa paghimo og usa ka high-performance nga microprocessor kinahanglang mogamit ka og 100–150 ka lain nga software tools (UG GIKAN). Ang sitwasyon mahimong mograbe sa kaso sa heterogeneous nga mga himan, ang arkitektura nga naglakip sa pipila ka lain-laing mga matang sa chips - ASIC, FPGA, CPU o GPU. Ingon usa ka sangputanan, adunay mga sayup sa disenyo nga naglangan sa pagpagawas sa mga produkto.

Bisan pa sa daghang gidaghanon sa auxiliary nga mga himan, ang mga inhenyero napugos gihapon sa pagbuhat sa pipila ka trabaho nga mano-mano. Ang mga tagsulat sa libro "Advanced Logic Synthesis"ingon nila nga usahay mga tigdesinyo kinahanglan pagsulat sa mga script sa Skill o Python sa duha ka milyon nga linya aron makahimo og mga librarya mga selula.

Gisulat usab ang mga script aron ma-parse ang mga taho nga gihimo sa mga sistema sa EDA. Kung nag-develop sa usa ka chip gamit ang 22nm process technology, kini nga mga taho mahimong moabot sa 30 terabytes.

Nakahukom ang DARPA sa pagtul-id sa sitwasyon ug pagsulay sa pag-standardize sa mga proseso sa disenyo. Sa ahensya usab tagdanga ang kasamtangan nga mga pamaagi sa paghimo og mga chips kay wala na sa panahon. Organisasyon gilansad lima ka tuig nga programa OpenROAD, nga nagtumong sa paghimo og bag-ong mga himan aron ma-automate ang mga proseso sa pagdesinyo sa chip.

Unsa nga matang sa programa

Ang programa naglangkit sa daghang mga proyekto nga naggamit sa pagkat-on sa makina ug mga teknolohiya sa panganod aron ma-automate ang indibidwal nga mga yugto sa paghimo sa chip. Isip kabahin sa inisyatiba ginadevelop (diagram 1) labaw sa napulo ka instrumento. Sunod kita maghisgot sa dugang nga detalye mahitungod sa pipila niini: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner usa ka himan alang sa pagdumala sa mga librarya sa RTL ug GDSII. Ang ulahi mao ang mga file sa database nga usa ka sumbanan sa industriya alang sa pagbayloay og kasayuran bahin sa mga integrated circuit ug ang ilang mga topologies. Ang solusyon gibase sa teknolohiya sa sudlanan sa Docker. Mahimo nimong ipadagan ang Flow Runner sa panganod ug lokal. Ang giya sa pag-install anaa sa opisyal nga repositoryo sa GitHub.

RePlAce usa ka solusyon sa panganod nga gibase sa pagkat-on sa makina, nga responsable sa pagbutang sa mga sangkap sa usa ka chip ug pag-automate sa ruta. Pinaagi sa pipila ka datos, ang mga intelihente nga algorithm nagdugang sa kahusayan sa himan sa 2-10% kung itandi sa mga klasikal nga sistema. Dugang pa, ang pagpatuman sa panganod nagpadali sa pag-scale. Anaa usab ang giya sa pag-install ug pag-configure sa repositoryo.

TritonCTS - usa ka gamit alang sa pag-optimize sa mga pulso sa orasan nga gihatag sa chip. Nagtabang sa pagruta sa mga signal sa orasan sa tanan nga bahin sa aparato nga adunay parehas nga mga paglangan. Ang prinsipyo sa operasyon gibase sa H-kahoy. Kini nga pamaagi nagtaas signal distribution efficiency sa 30% itandi sa tradisyonal nga mga pamaagi. Ang mga developers nag-ingon nga sa umaabot kini nga numero mahimong madugangan ngadto sa 56%. TritonCTS source code ug mga script anaa sa GitHub.

OpenSTA - usa ka makina alang sa static nga pagtuki sa timing. Gihatagan niini ang tigdesinyo sa higayon nga susihon ang pag-andar sa chip sa wala pa kini ma-assemble. Pananglitan nga code sa OpenSTA daw ingon niini.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Gisuportahan sa utility ang mga paghubit sa netlist sa Verilog code, mga librarya sa format sa Liberty, mga file sa SDC, ug uban pa.

Mga bentaha ug mga disbentaha

Mga eksperto gikan sa IBM ug IEEE pagsaulognga ang mga teknolohiya sa panganod ug pagkat-on sa makina dugay na alang sa paggamit sa paghimo sa chip. Sa ilang opinyon, ang proyekto sa DARPA mahimong usa ka malampuson nga panig-ingnan sa pagpatuman niini nga ideya ug ibutang ang sinugdanan sa mga kausaban sa industriya.

Gilauman usab nga ang bukas nga kinaiya sa OpenROAD maghimo usa ka kusgan nga komunidad sa palibot sa mga himan ug makadani sa mga bag-ong startup.

Panaghisgutan: Ang proyekto sa OpenROAD nagtinguha sa pagsulbad sa problema sa automation sa disenyo sa processor
--ΠžΡ‚ΠΎ - Pexels β€” CC NI

Adunay na mga partisipante - usa ka laboratoryo nga nagpalambo sa mga chip nga nakabase sa University of Michigan, mahimong una, kinsa mosulay sa OpenROAD open source tools. Apan wala pa mahibal-an kung ang mga bag-ong solusyon mahimo’g adunay mamatikdan nga epekto sa gasto sa katapusan nga mga produkto.

Sa kinatibuk-an, ang mga himan nga gihimo sa ilawom sa pagpangulo sa DARPA gilauman nga adunay positibo nga epekto sa industriya sa processor, ug daghang mga bag-ong proyekto ang magsugod sa pagtungha sa kini nga lugar. Ang usa ka pananglitan usa ka himan gEDA - kini nagtugot kanimo sa pagdesinyo sa mga chips nga adunay walay kutub nga gidaghanon sa mga sangkap. Ang gEDA naglakip sa mga utilities para sa pag-edit ug pagmodelo sa mga microcircuits ug board routing. Ang solusyon gihimo alang sa mga plataporma sa UNIX, apan daghang mga sangkap niini nagtrabaho usab sa ilawom sa Windows. Makita ang usa ka giya sa pagtrabaho uban kanila sa dokumentasyon sa website sa proyekto.

Ang libre nga magamit nga mga himan naghatag sa mga independente nga organisasyon ug mga startup og daghang kapilian. Posible nga sa paglabay sa panahon, ang mga bag-ong pamaagi sa OpenROAD sa EDA tool development ug chip design mahimong usa ka industriya nga sumbanan.

Unsa ang among gisulat sa among corporate blog:

Source: www.habr.com

Idugang sa usa ka comment