Discussione: U prughjettu OpenROAD intende di risolve u prublema di l'automatizazione di u disignu di u processatore

Discussione: U prughjettu OpenROAD intende di risolve u prublema di l'automatizazione di u disignu di u processatore
Фото - Pexels - CC BY

By datu PWC, u mercatu di a tecnulugia di i semiconduttori hè in crescita - l'annu passatu hà righjuntu $ 481 miliardi. Ma u so tassu di crescita recentemente diminuitu. I mutivi di u declinu includenu prucessi di cuncepimentu di u dispositivu cunfusu è una mancanza d'automatizazione.

Uni pochi anni fà, ingegneri da Intel hà scrittuchì quandu creanu un microprocessore d'altu rendimentu avete aduprà 100-150 strumenti software separati (EDA). A situazione pò esse aggravata in u casu di i dispositi eterogenei, l'architettura di quale include parechji tipi di chips - ASIC, FPGA, CPU o GPU. In u risultatu, l'errore di cuncepimentu accade chì ritardanu a liberazione di i prudutti.

Malgradu u gran numaru di arnesi ausiliarii, l'ingegneri sò sempre custretti à fà qualchì travagliu manualmente. L'autori di u libru "Sintesi Logica Avanzata"Dicenu chì qualchì volta i diseggiani anu da Scrivite script in Skill o Python di dui milioni di linii per creà biblioteche cù cellule.

I scripts sò ancu scritti per analizà i rapporti generati da i sistemi EDA. Quandu u sviluppu di un chip utilizendu una tecnulugia di prucessu 22nm, sti rapporti ponu piglià finu à 30 terabytes.

DARPA hà decisu di curregà a situazione è pruvà à standardizà i prucessi di cuncepimentu. À l'agenzia ancu cunsidereghjachì i metudi esistenti per creà chips sò obsoleti. Urganisazione lanciata prugramma di cinque anni OpenROAD, chì hà u scopu di sviluppà novi strumenti per automatizà i prucessi di cuncepimentu di chip.

Chì tipu di prugramma

U prugramma implica parechji prughjetti chì utilizanu l'apprendimentu automaticu è e tecnulugia di nuvola per automatizà fasi individuali di creazione di chip. In parte di l'iniziativa sò sviluppati (diagramma 1) più di dece strumenti. In seguitu, parlemu in più dettagliu di alcuni di elli: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Runner di flussu hè un strumentu per a gestione di e librerie RTL è GDSII. L'ultimi sò schedarii di basa di dati chì sò un standard di l'industria per scambià infurmazioni nantu à i circuiti integrati è e so topologie. A suluzione hè basata nantu à a tecnulugia di container Docker. Pudete eseguisce Flow Runner sia in u nuvulu sia in u locu. A guida d'installazione hè in u repositoriu ufficiale nantu à GitHub.

Sustituitu hè una suluzione nuvola basata nantu à l'apprendimentu automaticu, chì hè rispunsevule per mette cumpunenti nantu à un chip è automatizà u routing. By qualchi dati, l'algoritmi intelligenti aumentanu l'efficienza di l'uttellu da 2-10% paragunatu à i sistemi classici. Inoltre, l'implementazione in u nuvulu facilita a scala. A guida d'installazione è di cunfigurazione hè ancu dispunibule in u repository.

TritonCTS - una utilità per ottimisà i pulsazioni di clock furnite à u chip. Aiuta i segnali di u clock di rotta à tutte e parti di u dispusitivu cù i stessi ritardu. U principiu di funziunamentu hè basatu nantu H-arburi. Stu approcciu cresce efficienza di distribuzione di signali da 30% paragunatu à i metudi tradiziunali. I sviluppatori dicenu chì in u futuru sta figura pò esse aumentata à 56%. Codici fonte TritonCTS è scripts dispunibili nantu à GitHub.

OpenSTA - un mutore per l'analisi di u timing staticu. Dà u designer l'uppurtunità di verificà a funziunalità di u chip prima ch'ellu sia veramente assemblatu. Esempiu di codice in OpenSTA s'assumiglia cum'è què.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

L'utilità supporta e descrizioni di netlist di codice Verilog, librerie in formatu Liberty, schedari SDC, etc.

Avvanzi è disadvanessamenti

Esperti da IBM è IEEE festighjàchì i tecnulugii di nuvola è l'apprendimentu automaticu sò longu per l'usu in a produzzione di chip. In u so parè, u prughjettu DARPA pò diventà un esempiu successu di l'implementazione di sta idea è metterà u principiu di cambiamenti in l'industria.

Hè ancu previstu chì a natura aperta di OpenROAD creà una cumunità putente intornu à l'arnesi è attrae novi startups.

Discussione: U prughjettu OpenROAD intende di risolve u prublema di l'automatizazione di u disignu di u processatore
Фото - Pexels - CC BY

Ci sò digià participanti - un laboratoriu chì sviluppa chips basatu in l'Università di Michigan, serà u primu, chì testerà l'arnesi open source OpenROAD. Ma ùn hè micca cunnisciutu ancu s'ellu e novi suluzioni puderanu avè un effettu notevuli nantu à u costu di i prudutti finali.

In generale, l'arnesi sviluppati sottu a dirigenza di DARPA sò previsti per avè un impattu pusitivu nantu à l'industria di u processatore, è più novi prughjetti cumincianu à emerge in questa zona. Un esempiu seria un strumentu gEDA - permette di cuncepisce chips cù un numeru illimitatu di cumpunenti. gEDA include utilità per edità è modellazione di microcircuiti è rotulamentu di bordu. A suluzione hè stata sviluppata per e plataformi UNIX, ma una quantità di i so cumpunenti travaglia ancu in Windows. Pudete truvà una guida per travaglià cun elli in a documentazione nantu à u situ web di u prughjettu.

Strumenti dispunibuli liberamente dà à l'urganisazioni è e startups indipendenti più opzioni. Hè pussibule chì cù u tempu, i novi approcci di OpenROAD à u sviluppu di l'utillita EDA è u disignu di chip puderia diventà un standard di l'industria.

Ciò chì scrivemu in u nostru blog corporativu:

Source: www.habr.com

Add a comment