A crescita in u numeru di transistori nantu à chips cuntinueghja à seguità a lege di Moore

L'ostaculi à u sviluppu di a produzzione di semiconduttori ùn s'assumiglia più à barriere, ma pareti alti. Eppuru l'industria avanza passu per passu, dopu à l'evidenza empirica derivata 55 anni fà. A lege di Gordon Moore. Ancu s'ellu hè riservatu, u numaru di transistori in chips cuntinueghja à duppià ogni dui anni.

A crescita in u numeru di transistori nantu à chips cuntinueghja à seguità a lege di Moore

Per ùn esse micca fundatu da l'analitiche di IC Insights hà publicatu un rapportu nantu à u statu di u mercatu di i semiconduttori in 2020. U rapportu include a storia di u sviluppu di i principali mercati dapoi u 71: memoria DRAM, memoria flash NAND, microprocessori è processori grafici.

L'analisti notanu chì in l'ultimi anni 10 à 15, fattori cum'è u cunsumu di energia è e limitazioni di scala anu cuminciatu à influenzà forte a crescita di u numeru di transistors in certi prudutti integrati. Ma in generale, i novi sviluppi è i novi approcci à u disignu è a produzzione di chips ci permettenu di cuntà à a preservazione cuntinuata di a lege di Moore.

Cusì, u nùmeru di transistors in chips DRAM cresce à un ritmu mediu di circa 2000% annu à l'iniziu di l'anni 45, ma hà rallentatu à 2016% annu da 20 cù l'intruduzioni di chips di memoria 16-Gbit da Samsung. U standard DDR5, chì hè sempre finalizatu da JEDEC, includerà i dispositi monolitichi cù capacità di 24 Gbit, 32 Gbit è 64 Gbit, chì hè un novu saltu avanti.

A crescita annuale in a densità di memoria flash hè stata à 2012-55% annu finu à u 60, ma dapoi hè diminuitu à 30-35% annu. Per i chip di memoria flash planari, a più alta densità era 128 Gbit (dati da ghjennaghju 2020). Ma a densità massima di u chip 3D NAND hà righjuntu 1,33 Tbit per a memoria di 96 strati cù quattru bit per cellula (QLC). À a fine di l'annu, i microcircuiti 1,5 Tbit 128-layer sò prumessi per apparisce, cù un sussegwente aumentu di capacità à 2 Tbit.

U numaru di transistors in i microprocessori Intel PC hà crisciutu da circa 2010% annu finu à u 40, ma sta figura hè cascata da a mità in l'anni successivi. U numaru di transistori cuntinueghja à cresce in i prucessori di u servitore di a cumpagnia. Questa crescita si stallà à a mità à a fine di l'anni 2000, ma hà dapoi ripresa à un ritmu di circa 25% annu. Intel hà cessatu di divulgà i dettagli di u conte di transistor in 2017.

U numaru di transistori in i prucessori di l'applicazioni Apple in smartphones iPhone è tablette iPad hè aumentatu da 2013% annu da 43. Questa figura include dati da u processatore A13 cù i so 8,5 miliardi di transistori. Apple hè previstu di presentà un iPad Pro alimentatu da u novu processore A2020X in a prima mità di 13.

E GPU d'altu rendiment di NVIDIA anu un numeru di transistor estremamente altu. A cuntrariu di i microprocessori, i GPU, cù u so più altu gradu di parallelismu architettonicu, ùn cuntenenu micca una quantità significativa di memoria cache, lascendu assai spaziu per a logica (transistor). L'attenzione cuntinuata di a cumpagnia nantu à l'apprendimentu automaticu è l'acceleratori AI alimentanu solu sta tendenza.



Source: 3dnews.ru

Add a comment