Trafodaeth: Mae prosiect OpenROAD yn bwriadu datrys y broblem o awtomeiddio dyluniad prosesydd

Trafodaeth: Mae prosiect OpenROAD yn bwriadu datrys y broblem o awtomeiddio dyluniad prosesydd
Фото - Pexels — CC GAN

Ar a roddir Mae PWC, y farchnad technoleg lled-ddargludyddion yn tyfu - y llynedd cyrhaeddodd $481 biliwn. Ond mae ei gyfradd twf yn ddiweddar wedi gostwng. Mae'r rhesymau dros y dirywiad yn cynnwys prosesau dylunio dyfeisiau dryslyd a diffyg awtomeiddio.

Ychydig flynyddoedd yn ôl, peirianwyr o Intel писалиwrth greu microbrosesydd perfformiad uchel mae'n rhaid i chi ddefnyddio 100-150 o offer meddalwedd ar wahân (AC GAN). Gellir gwaethygu'r sefyllfa yn achos dyfeisiau heterogenaidd, y mae eu pensaernïaeth yn cynnwys sawl math gwahanol o sglodion - ASIC, FPGA, CPU neu GPU. O ganlyniad, mae gwallau dylunio yn digwydd sy'n gohirio rhyddhau cynhyrchion.

Er gwaethaf y nifer fawr o offer ategol, mae peirianwyr yn dal i gael eu gorfodi i wneud rhywfaint o waith â llaw. Awduron y llyfr "Synthesis Rhesymeg Uwch“Maen nhw'n dweud bod dylunwyr weithiau rhaid i chi ysgrifennu sgriptiau mewn Skill neu Python o ddwy filiwn o linellau i greu llyfrgelloedd gyda nhw celloedd.

Ysgrifennir sgriptiau hefyd i ddosrannu adroddiadau a gynhyrchir gan systemau EDA. Wrth ddatblygu sglodyn gan ddefnyddio technoleg proses 22nm, gall yr adroddiadau hyn gymryd hyd at 30 terabytes.

Penderfynodd DARPA gywiro'r sefyllfa a cheisio safoni'r prosesau dylunio. Yn yr asiantaeth hefyd ystyriedbod y dulliau presennol o greu sglodion yn hen ffasiwn. Sefydliad lansio rhaglen pum mlynedd FFORDD Agored, sy'n anelu at ddatblygu offer newydd i awtomeiddio prosesau dylunio sglodion.

Pa fath o raglen

Mae'r rhaglen yn cynnwys sawl prosiect sy'n defnyddio dysgu peiriant a thechnolegau cwmwl i awtomeiddio camau unigol o greu sglodion. Fel rhan o'r fenter yn cael eu datblygu (diagram 1) mwy na deg offeryn. Nesaf byddwn yn siarad yn fanylach am rai ohonynt: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Rhedwr Llif yn offeryn ar gyfer rheoli llyfrgelloedd RTL a GDSII. Mae'r olaf yn ffeiliau cronfa ddata sy'n safon diwydiant ar gyfer cyfnewid gwybodaeth am gylchedau integredig a'u topolegau. Mae'r ateb yn seiliedig ar dechnoleg cynhwysydd Docker. Gallwch chi redeg Flow Runner yn y cwmwl ac yn lleol. Mae'r canllaw gosod yn y storfa swyddogol ar GitHub.

RePlAce yn ddatrysiad cwmwl yn seiliedig ar ddysgu peiriant, sy'n gyfrifol am osod cydrannau ar sglodyn ac awtomeiddio llwybro. Gan rhywfaint o ddata, mae algorithmau deallus yn cynyddu effeithlonrwydd yr offeryn 2-10% o'i gymharu â systemau clasurol. Yn ogystal, mae gweithredu yn y cwmwl yn gwneud graddio yn haws. Mae canllaw gosod a ffurfweddu hefyd ar gael yn yr ystorfa.

TritonCTS - cyfleustodau ar gyfer optimeiddio corbys cloc a gyflenwir i'r sglodyn. Yn helpu i gyfeirio signalau cloc i bob rhan o'r ddyfais gyda'r un oedi. Mae'r egwyddor gweithredu yn seiliedig ar H-coed. Y dull hwn yn cynyddu effeithlonrwydd dosbarthu signal 30% o'i gymharu â dulliau traddodiadol. Dywed y datblygwyr y gellir cynyddu'r ffigur hwn i 56% yn y dyfodol. Cod ffynhonnell TritonCTS a sgriptiau ar gael ar GitHub.

AgoredSTA — peiriant ar gyfer dadansoddi amseru statig. Mae'n rhoi cyfle i'r dylunydd wirio ymarferoldeb y sglodyn cyn iddo gael ei ymgynnull mewn gwirionedd. Cod enghreifftiol yn OpenSTA edrych fel fel hyn.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Mae'r cyfleustodau'n cefnogi disgrifiadau rhestr net o god Verilog, llyfrgelloedd fformat Liberty, ffeiliau SDC, ac ati.

Manteision ac anfanteision

Arbenigwyr o IBM ac IEEE dathluei bod yn hen bryd defnyddio technolegau cwmwl a dysgu â pheiriant wrth gynhyrchu sglodion. Yn eu barn nhw, gall y prosiect DARPA ddod yn enghraifft lwyddiannus o weithredu'r syniad hwn a bydd yn rhoi dechrau newidiadau yn y diwydiant.

Disgwylir hefyd y bydd natur agored OpenROAD yn creu cymuned bwerus o amgylch yr offer ac yn denu busnesau newydd.

Trafodaeth: Mae prosiect OpenROAD yn bwriadu datrys y broblem o awtomeiddio dyluniad prosesydd
Фото - Pexels — CC GAN

Mae yna gyfranogwyr eisoes - labordy sy'n datblygu sglodion wedi'i leoli ym Mhrifysgol Michigan, fydd y cyntaf, a fydd yn profi offer ffynhonnell agored OpenROAD. Ond nid yw'n hysbys eto a fydd atebion newydd yn gallu cael effaith amlwg ar gost cynhyrchion terfynol.

Ar y cyfan, disgwylir i'r offer sy'n cael eu datblygu o dan arweinyddiaeth DARPA gael effaith gadarnhaol ar y diwydiant prosesydd, a bydd mwy o brosiectau newydd yn dechrau dod i'r amlwg yn y maes hwn. Enghraifft fyddai offeryn gEDA - mae'n caniatáu ichi ddylunio sglodion gyda nifer anghyfyngedig o gydrannau. Mae gEDA yn cynnwys cyfleustodau ar gyfer golygu a modelu microcircuits a llwybro bwrdd. Datblygwyd yr ateb ar gyfer llwyfannau UNIX, ond mae nifer o'i gydrannau hefyd yn gweithio o dan Windows. Gellir dod o hyd i ganllaw ar weithio gyda nhw yn y ddogfennaeth ar wefan y prosiect.

Mae offer sydd ar gael am ddim yn rhoi mwy o opsiynau i sefydliadau annibynnol a busnesau newydd. Mae'n bosibl, dros amser, y gallai dulliau newydd OpenROAD o ddatblygu offer EDA a dylunio sglodion ddod yn safon diwydiant.

Yr hyn rydyn ni'n ysgrifennu amdano yn ein blog corfforaethol:

Ffynhonnell: hab.com

Ychwanegu sylw