Συζήτηση: Το έργο OpenROAD σκοπεύει να λύσει το πρόβλημα της αυτοματοποίησης του σχεδιασμού του επεξεργαστή

Συζήτηση: Το έργο OpenROAD σκοπεύει να λύσει το πρόβλημα της αυτοματοποίησης του σχεδιασμού του επεξεργαστή
Фото - Pexels — CC BY

Επί Σύμφωνα με Η PWC, η αγορά τεχνολογίας ημιαγωγών αυξάνεται - πέρυσι έφτασε τα 481 δισεκατομμύρια δολάρια. Αλλά ο ρυθμός ανάπτυξής του πρόσφατα μειώθηκε. Οι λόγοι για την πτώση περιλαμβάνουν σύγχυση των διαδικασιών σχεδιασμού συσκευών και έλλειψη αυτοματισμού.

Πριν από μερικά χρόνια, μηχανικοί από την Intel писалиότι όταν δημιουργείτε έναν μικροεπεξεργαστή υψηλής απόδοσης πρέπει να χρησιμοποιήσετε 100–150 ξεχωριστά εργαλεία λογισμικού (ΕΔΑ). Η κατάσταση μπορεί να επιδεινωθεί στην περίπτωση ετερογενών συσκευών, η αρχιτεκτονική των οποίων περιλαμβάνει πολλούς διαφορετικούς τύπους τσιπ - ASIC, FPGA, CPU ή GPU. Ως αποτέλεσμα, συμβαίνουν σφάλματα σχεδιασμού που καθυστερούν την κυκλοφορία των προϊόντων.

Παρά τον μεγάλο αριθμό βοηθητικών εργαλείων, οι μηχανικοί εξακολουθούν να αναγκάζονται να κάνουν κάποιες εργασίες χειροκίνητα. Οι συγγραφείς του βιβλίου "Προηγμένη Λογική Σύνθεση«λένε ότι μερικές φορές σχεδιαστές πρέπει γράψτε σενάρια σε Skill ή Python δύο εκατομμυρίων γραμμών για να δημιουργήσετε βιβλιοθήκες κύτταρα.

Γράφονται επίσης σενάρια για την ανάλυση αναφορών που δημιουργούνται από συστήματα EDA. Όταν αναπτύσσετε ένα τσιπ χρησιμοποιώντας τεχνολογία διεργασίας 22 nm, αυτές οι αναφορές μπορεί να χρειαστούν έως και 30 terabyte.

Η DARPA αποφάσισε να διορθώσει την κατάσταση και να προσπαθήσει να τυποποιήσει τις διαδικασίες σχεδιασμού. Και στο πρακτορείο σκεφτείτεότι οι υπάρχουσες μέθοδοι για τη δημιουργία τσιπ είναι ξεπερασμένες. Οργάνωση ξεκίνησε πενταετές πρόγραμμα Ανοιχτός δρόμος, που στοχεύει στην ανάπτυξη νέων εργαλείων για την αυτοματοποίηση των διαδικασιών σχεδιασμού τσιπ.

Τι είδους πρόγραμμα

Το πρόγραμμα περιλαμβάνει πολλά έργα που χρησιμοποιούν μηχανική εκμάθηση και τεχνολογίες cloud για την αυτοματοποίηση μεμονωμένων σταδίων δημιουργίας τσιπ. Στο πλαίσιο της πρωτοβουλίας αναπτύσσονται (διάγραμμα 1) περισσότερα από δέκα όργανα. Στη συνέχεια θα μιλήσουμε πιο αναλυτικά για μερικά από αυτά: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner είναι ένα εργαλείο για τη διαχείριση βιβλιοθηκών RTL και GDSII. Τα τελευταία είναι αρχεία βάσης δεδομένων που αποτελούν βιομηχανικό πρότυπο για την ανταλλαγή πληροφοριών σχετικά με τα ολοκληρωμένα κυκλώματα και τις τοπολογίες τους. Η λύση βασίζεται στην τεχνολογία Docker container. Μπορείτε να εκτελέσετε το Flow Runner τόσο στο cloud όσο και τοπικά. Ο οδηγός εγκατάστασης βρίσκεται στο επίσημο αποθετήριο στο GitHub.

Αντικαθιστώ είναι μια λύση cloud που βασίζεται στη μηχανική εκμάθηση, η οποία είναι υπεύθυνη για την τοποθέτηση στοιχείων σε ένα τσιπ και την αυτοματοποίηση της δρομολόγησης. Με κάποια στοιχεία, οι έξυπνοι αλγόριθμοι αυξάνουν την απόδοση του εργαλείου κατά 2–10% σε σύγκριση με τα κλασικά συστήματα. Επιπλέον, η εφαρμογή στο cloud διευκολύνει την κλιμάκωση. Ο οδηγός εγκατάστασης και διαμόρφωσης είναι επίσης διαθέσιμος στο αποθετήριο.

TritonCTS — ένα βοηθητικό πρόγραμμα για τη βελτιστοποίηση των παλμών ρολογιού που παρέχονται στο τσιπ. Βοηθά στη δρομολόγηση σημάτων ρολογιού σε όλα τα μέρη της συσκευής με τις ίδιες καθυστερήσεις. Η αρχή λειτουργίας βασίζεται σε H-δέντρα. Αυτή η προσέγγιση αυξάνει απόδοση διανομής σήματος κατά 30% σε σύγκριση με τις παραδοσιακές μεθόδους. Οι προγραμματιστές λένε ότι στο μέλλον αυτό το ποσοστό μπορεί να αυξηθεί στο 56%. Διαθέσιμοι πηγαίος κώδικας και σενάρια TritonCTS στο GitHub.

OpenSTA — μηχανή για στατική ανάλυση χρονισμού. Δίνει στον σχεδιαστή την ευκαιρία να ελέγξει τη λειτουργικότητα του τσιπ πριν αυτό συναρμολογηθεί πραγματικά. Παράδειγμα κώδικα στο OpenSTA μοιάζει σαν αυτό.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Το βοηθητικό πρόγραμμα υποστηρίζει περιγραφές netlist κώδικα Verilog, βιβλιοθήκες μορφής Liberty, αρχεία SDC κ.λπ.

Πλεονεκτήματα και μειονεκτήματα

Εμπειρογνώμονες από την IBM και την IEEE γιορτάστεότι οι τεχνολογίες cloud και η μηχανική μάθηση έχουν καθυστερήσει πολύ να χρησιμοποιηθούν στην παραγωγή τσιπ. Κατά τη γνώμη τους, το έργο DARPA μπορεί να γίνει ένα επιτυχημένο παράδειγμα εφαρμογής αυτής της ιδέας και θα βαλω η αρχή των αλλαγών στον κλάδο.

Αναμένεται επίσης ότι η ανοιχτή φύση του OpenROAD θα δημιουργήσει μια ισχυρή κοινότητα γύρω από τα εργαλεία και θα προσελκύσει νέες νεοφυείς επιχειρήσεις.

Συζήτηση: Το έργο OpenROAD σκοπεύει να λύσει το πρόβλημα της αυτοματοποίησης του σχεδιασμού του επεξεργαστή
Фото - Pexels — CC BY

Υπάρχουν ήδη συμμετέχοντες - ένα εργαστήριο που αναπτύσσει τσιπ με έδρα το Πανεπιστήμιο του Μίσιγκαν, θα είναι το πρώτο, ο οποίος θα δοκιμάσει τα εργαλεία ανοιχτού κώδικα OpenROAD. Ωστόσο, δεν είναι ακόμη γνωστό εάν οι νέες λύσεις θα μπορέσουν να έχουν αισθητή επίδραση στο κόστος των τελικών προϊόντων.

Συνολικά, τα εργαλεία που αναπτύσσονται υπό την ηγεσία της DARPA αναμένεται να έχουν θετικό αντίκτυπο στη βιομηχανία επεξεργαστών και περισσότερα νέα έργα θα αρχίσουν να εμφανίζονται σε αυτόν τον τομέα. Ένα παράδειγμα θα ήταν ένα εργαλείο gEDA — σας επιτρέπει να σχεδιάζετε τσιπ με απεριόριστο αριθμό εξαρτημάτων. Το gEDA περιλαμβάνει βοηθητικά προγράμματα για επεξεργασία και μοντελοποίηση μικροκυκλωμάτων και δρομολόγηση πλακέτας. Η λύση αναπτύχθηκε για πλατφόρμες UNIX, αλλά ορισμένα στοιχεία της λειτουργούν και στα Windows. Μπορείτε να βρείτε έναν οδηγό για να εργαστείτε μαζί τους στην τεκμηρίωση στον ιστότοπο του έργου.

Τα ελεύθερα διαθέσιμα εργαλεία δίνουν περισσότερες επιλογές σε ανεξάρτητους οργανισμούς και startups. Είναι πιθανό ότι με την πάροδο του χρόνου, οι νέες προσεγγίσεις του OpenROAD για την ανάπτυξη εργαλείων EDA και το σχεδιασμό τσιπ θα μπορούσαν να γίνουν βιομηχανικό πρότυπο.

Τι γράφουμε στο εταιρικό μας blog:

Πηγή: www.habr.com

Προσθέστε ένα σχόλιο