Πρωτοβουλία ανοιχτού κώδικα FPGA

Ανακοίνωσε τη σύσταση ενός νέου μη κερδοσκοπικού οργανισμού, του Open-Source FPGA Foundation (OSFPGA), που στοχεύει στην ανάπτυξη, προώθηση και δημιουργία περιβάλλοντος για τη συνεργατική ανάπτυξη λύσεων ανοιχτού υλικού και λογισμικού που σχετίζονται με τη χρήση προγραμματιζόμενης συστοιχίας πυλών πεδίου ( FPGA) ολοκληρωμένα κυκλώματα που επιτρέπουν επαναπρογραμματιζόμενο λογικό έργο μετά την κατασκευή τσιπ. Οι βασικές δυαδικές λειτουργίες (AND, NAND, OR, NOR και XOR) σε τέτοια τσιπ υλοποιούνται χρησιμοποιώντας λογικές πύλες (διακόπτες) που έχουν πολλαπλές εισόδους και μία έξοδο, η διαμόρφωση των συνδέσεων μεταξύ των οποίων μπορεί να αλλάξει με λογισμικό.

Τα ιδρυτικά μέλη της OSFPGA περιλαμβάνουν μερικούς εξέχοντες ερευνητές τεχνολογίας FPGA από εταιρείες και έργα όπως EPFL, QuickLogic, Zero ASIC και GSG Group. Υπό την αιγίδα του νέου οργανισμού, θα αναπτυχθεί ένα σύνολο ανοιχτών και δωρεάν εργαλείων για γρήγορη δημιουργία πρωτοτύπων με βάση τα τσιπ FPGA και υποστήριξη ηλεκτρονικών αυτοματισμών σχεδιασμού (EDA). Ο οργανισμός θα επιβλέπει επίσης την κοινή ανάπτυξη ανοιχτών προτύπων που σχετίζονται με τα FPGA, παρέχοντας ένα ουδέτερο φόρουμ για τις εταιρείες για να ανταλλάσσουν εμπειρίες και τεχνολογίες.

Αναμένεται ότι το OSFPGA θα επιτρέψει στις εταιρείες τσιπ να εξαλείψουν ορισμένες από τις μηχανικές διαδικασίες που εμπλέκονται στην παραγωγή FPGA, να παρέχει στους προγραμματιστές τελικούς χρήστες μια έτοιμη, προσαρμοσμένη στοίβα λογισμικού FPGA και να επιτρέψει τη συνεργασία για τη δημιουργία νέων αρχιτεκτονικών υψηλής ποιότητας. Σημειώνεται ότι τα ανοιχτά εργαλεία που παρέχει η OSFPGA θα διατηρηθούν στο υψηλότερο επίπεδο ποιότητας, πληρώντας ή υπερβαίνοντας τα πρότυπα του κλάδου.

Οι κύριοι στόχοι του Open-Source FPGA Foundation είναι:

  • Παροχή πόρων και υποδομής για την ανάπτυξη ενός συνόλου εργαλείων που σχετίζονται με το υλικό και το λογισμικό FPGA.
  • Προώθηση της χρήσης αυτών των εργαλείων μέσα από διάφορες εκδηλώσεις.
  • Παροχή υποστήριξης, ανάπτυξης και διαφάνειας εργαλείων για την έρευνα προηγμένων αρχιτεκτονικών FPGA, καθώς και σχετικών εξελίξεων λογισμικού και υλικού.
  • Διατήρηση καταλόγου διαθέσιμων στο κοινό αρχιτεκτονικών FPGA, τεχνολογιών σχεδιασμού και σχεδίων πλακέτας που προέρχονται από δημοσιεύσεις και αποκαλύψεις ευρεσιτεχνιών που έχουν λήξει.
  • Προετοιμάστε και παρέχετε πρόσβαση σε εκπαιδευτικό υλικό για να βοηθήσετε στη δημιουργία μιας κοινότητας ενδιαφερόμενων προγραμματιστών.
  • Απλοποιήστε τη συνεργασία με τους κατασκευαστές τσιπ για να μειώσετε το κόστος και τον χρόνο δοκιμής και επικύρωσης νέων αρχιτεκτονικών και υλικού FPGA.

Σχετικά εργαλεία ανοιχτού κώδικα:

  • Το OpenFPGA είναι ένα κιτ Ηλεκτρονικού Αυτοματισμού Σχεδιασμού (EDA) για FPGA που υποστηρίζει τη δημιουργία υλικού με βάση τις περιγραφές της Verilog.
  • Το 1st CLaaS είναι ένα πλαίσιο που σας επιτρέπει να χρησιμοποιείτε FPGA για τη δημιουργία επιταχυντών υλικού για εφαρμογές web και cloud.
  • Το Verilog-to-Routing (VTR) είναι μια εργαλειοθήκη που σας επιτρέπει να δημιουργήσετε τη διαμόρφωση του επιλεγμένου FPGA με βάση μια περιγραφή στη γλώσσα Verilog.
  • Το Symbiflow είναι ένα κιτ εργαλείων για την ανάπτυξη λύσεων που βασίζονται σε Xilinx 7, Lattice iCE40, Lattice ECP5 και QuickLogic EOS S3 FPGA.
  • Το Yosys είναι ένα πλαίσιο σύνθεσης Verilog RTL για κοινές εφαρμογές.
  • Το EPFL είναι μια συλλογή βιβλιοθηκών για την ανάπτυξη εφαρμογών λογικής σύνθεσης.
  • Το LSOracle είναι ένα πρόσθετο στις βιβλιοθήκες EPFL για τη βελτιστοποίηση των αποτελεσμάτων λογικής σύνθεσης.
  • Το Edalize είναι ένα κιτ εργαλείων Python για την αλληλεπίδραση με συστήματα ηλεκτρονικών αυτοματισμών σχεδιασμού (EDA) και τη δημιουργία αρχείων έργου για αυτά.
  • Το GHDL είναι ένας μεταγλωττιστής, αναλυτής, προσομοιωτής και συνθεσάιζερ για τη γλώσσα περιγραφής υλικού VHDL.
  • Το VerilogCreator είναι ένα πρόσθετο για το QtCreator που μετατρέπει αυτήν την εφαρμογή σε περιβάλλον ανάπτυξης στο Verilog 2005.
  • Το FuseSoC είναι ένας διαχειριστής πακέτων για HDL (Γλώσσα περιγραφής υλικού) κώδικα και βοηθητικό πρόγραμμα αφαίρεσης συναρμολόγησης για FPGA/ASIC.
  • Το SOFA (Skywater Open-source FPGA) είναι ένα σύνολο ανοιχτών FPGA IP (Πνευματικής Ιδιοκτησίας) που δημιουργήθηκε χρησιμοποιώντας το Skywater PDK και το πλαίσιο OpenFPGA.
  • Το openFPGALoader είναι ένα βοηθητικό πρόγραμμα για τον προγραμματισμό FPGA.
  • LiteDRAM - προσαρμοσμένος πυρήνας IP για FPGA με υλοποίηση DRAM.

Επιπλέον, μπορούμε να σημειώσουμε το έργο Main_MiSTer, το οποίο επιτρέπει τη χρήση της πλακέτας DE10-Nano FPGA που είναι συνδεδεμένη σε τηλεόραση ή οθόνη για την προσομοίωση του εξοπλισμού παλιών κονσολών παιχνιδιών και κλασικών υπολογιστών. Σε αντίθεση με τους εξομοιωτές που εκτελούνται, η χρήση ενός FPGA καθιστά δυνατή την αναδημιουργία του αρχικού περιβάλλοντος υλικού στο οποίο μπορείτε να εκτελέσετε υπάρχουσες εικόνες συστήματος και εφαρμογές για παλαιότερες πλατφόρμες υλικού.

Πηγή: opennet.ru

Προσθέστε ένα σχόλιο