Diskuto: La projekto OpenROAD intencas solvi la problemon de aŭtomatigo de procesoro-dezajno

Diskuto: La projekto OpenROAD intencas solvi la problemon de aŭtomatigo de procesoro-dezajno
Фото - Pexeloj — CC BY

Por donita PWC, la duonkonduktaĵteknologia merkato kreskas - pasintjare ĝi atingis $ 481 miliardojn. Sed ĝia kreskorapideco lastatempe malpliiĝis. Kialoj de la malkresko inkluzivas konfuzantajn aparatajn dezajnprocezojn kaj mankon de aŭtomatigo.

Antaŭ kelkaj jaroj, inĝenieroj de Intel skribiske kiam oni kreas alt-efikan mikroprocesoron oni devas uzi 100–150 apartajn programarajn ilojn (KAJ DE). La situacio povas esti pligravigita en la kazo de heterogenaj aparatoj, kies arkitekturo inkluzivas plurajn malsamajn specojn de blatoj - ASIC, FPGA, CPU aŭ GPU. Kiel rezulto, dezajnaj eraroj okazas, kiuj prokrastas la liberigon de produktoj.

Malgraŭ la granda nombro da helpaj iloj, inĝenieroj ankoraŭ estas devigitaj fari iom da laboro permane. La aŭtoroj de la libro "Altnivela Logika Sintezo"Ili diras ke foje dizajnistoj devas skribi skriptojn en Skill aŭ Python de du milionoj da linioj por krei bibliotekojn kun ĉeloj.

Manuskriptoj ankaŭ estas skribitaj por analizi raportojn generitajn de EDA-sistemoj. Disvolvante blaton uzante 22nm-procezan teknologion, ĉi tiuj raportoj povas preni ĝis 30 terabajtojn.

DARPA decidis korekti la situacion kaj provi normigi la dezajnprocezojn. Ankaŭ ĉe la agentejo pripensuke ekzistantaj metodoj por krei blatojn estas malmodernaj. Organizo lanĉita kvinjara programo OpenROAD, kiu celas evoluigi novajn ilojn por aŭtomatigi blatajn dezajnprocezojn.

Kia programo

La programo implikas plurajn projektojn, kiuj uzas maŝinlernadon kaj nubajn teknologiojn por aŭtomatigi individuajn stadiojn de kreado de blatoj. Kadre de la iniciato estas evoluigitaj (diagramo 1) pli ol dek instrumentoj. Poste ni parolos pli detale pri kelkaj el ili: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flua Kuristo estas ilo por administri RTL kaj GDSII-bibliotekojn. Ĉi-lastaj estas datumbazaj dosieroj, kiuj estas industria normo por interŝanĝi informojn pri integraj cirkvitoj kaj iliaj topologioj. La solvo baziĝas sur Docker-ujo-teknologio. Vi povas ruli Flow Runner kaj en la nubo kaj loke. La instala gvidilo estas en la oficiala deponejo sur GitHub.

Anstataŭigi estas nuba solvo bazita sur maŝinlernado, kiu respondecas pri meti komponantojn sur blaton kaj aŭtomatigi vojigon. De iuj datumoj, inteligentaj algoritmoj pliigas la efikecon de la ilo je 2-10% kompare kun klasikaj sistemoj. Krome, efektivigo en la nubo faciligas skaladon. Gvidilo pri instalado kaj agordo ankaŭ haveblas en la deponejo.

TritonCTS - utileco por optimumigi horloĝpulsojn liveritaj al la blato. Helpas direkti horloĝsignalojn al ĉiuj partoj de la aparato kun la samaj prokrastoj. La funkcia principo baziĝas sur H-arboj. Ĉi tiu alproksimiĝo plibonigas signal-distribua efikeco je 30% kompare kun tradiciaj metodoj. La programistoj diras, ke estonte ĉi tiu cifero povas esti pliigita al 56%. TritonCTS fontkodo kaj skriptoj haveblaj sur GitHub.

OpenSTA - motoro por senmova tempo-analizo. Ĝi donas al la dizajnisto la ŝancon kontroli la funkciecon de la blato antaŭ ol ĝi estas efektive kunvenita. Ekzempla kodo en OpenSTA aspektas kiel kiel tio.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

La ilo subtenas netlistpriskribojn de Verilog-kodo, Liberty-formatajn bibliotekojn, SDC-dosierojn, ktp.

Avantaĝoj kaj malavantaĝoj

Fakuloj de IBM kaj IEEE festike nuboteknologioj kaj maŝinlernado estas longe posttempaj por uzo en ĉipetoproduktado. Laŭ ilia opinio, la projekto DARPA povas fariĝi sukcesa ekzemplo de la efektivigo de ĉi tiu ideo kaj metos la komenco de ŝanĝoj en la industrio.

Oni ankaŭ atendas, ke la malferma naturo de OpenROAD kreos potencan komunumon ĉirkaŭ la iloj kaj altiros novajn noventreprenojn.

Diskuto: La projekto OpenROAD intencas solvi la problemon de aŭtomatigo de procesoro-dezajno
Фото - Pexeloj — CC BY

Jam estas partoprenantoj - laboratorio evoluanta blatoj bazitaj ĉe la Universitato de Miĉigano, estos la unua, kiu provos OpenROAD-malfermfontajn ilojn. Sed oni ankoraŭ ne scias, ĉu novaj solvoj povos havi rimarkindan efikon sur la kosto de finaj produktoj.

Ĝenerale, la iloj estantaj evoluigitaj sub la gvidado de DARPA estas atenditaj havi pozitivan efikon al la procesorindustrio, kaj pli novaj projektoj komencos aperi en ĉi tiu areo. Ekzemplo estus ilo gEDA — ĝi permesas vin desegni blatojn kun senlima nombro da komponantoj. gEDA inkludas servaĵojn por redaktado kaj modeligado de mikrocirkvitoj kaj tabulvojigo. La solvo estis evoluigita por UNIX-platformoj, sed kelkaj el ĝiaj komponantoj ankaŭ funkcias sub Vindozo. Gvidilo por labori kun ili troveblas en la dokumentado en la retejo de la projekto.

Libere haveblaj iloj donas al sendependaj organizoj kaj noventreprenoj pli da ebloj. Eblas, ke kun la tempo, la novaj aliroj de OpenROAD al EDA-ilo-disvolviĝo kaj blatdezajno povus iĝi industria normo.

Pri kio ni skribas en nia kompania blogo:

fonto: www.habr.com

Aldoni komenton