Eztabaida: OpenROAD proiektuak prozesadorearen diseinuaren automatizazioaren arazoa konpondu nahi du

Eztabaida: OpenROAD proiektuak prozesadorearen diseinuaren automatizazioaren arazoa konpondu nahi du
Argazkia - Pexels β€” CC BY

On datuak PWC, erdieroaleen teknologiaren merkatua hazten ari da - iaz 481 milioi dolarretara iritsi zen. Baina bere hazkunde-tasa duela gutxi gutxitu. Gainbeheraren arrazoiak gailuen diseinu prozesu nahasiak eta automatizazio falta dira.

Duela urte batzuk, Intel-eko ingeniariak писалиerrendimendu handiko mikroprozesadore bat sortzean 100-150 software-tresna bereizi erabili behar dituzula (ETA HANDIK). Egoera larriagotu egin daiteke gailu heterogeneoen kasuan, zeinen arkitekturak hainbat txip mota barne hartzen dituena: ASIC, FPGA, CPU edo GPU. Ondorioz, produktuen kaleratzea atzeratzen duten diseinu akatsak gertatzen dira.

Tresna osagarri ugari egon arren, ingeniariak eskuz lan batzuk egitera behartuta daude oraindik. Liburuaren egileak"Sintesi Logiko Aurreratua"esaten dute batzuetan diseinatzaileak egin behar idatzi Skill edo Python-en bi milioi lerroko gidoiak liburutegiak sortzeko zelulak.

Scriptak ere idazten dira EDA sistemek sortutako txostenak analizatzeko. 22 nm-ko prozesu-teknologia erabiliz txip bat garatzen denean, txosten hauek 30 terabyte har ditzakete.

DARPAk erabaki zuen egoera zuzentzea eta diseinu-prozesuak estandarizatzen saiatzea. Agentzian ere bai kontuantxipak sortzeko dauden metodoak zaharkituta daudela. Antolaketa abian jarri zen bost urteko programa OpenROAD, txirbilak diseinatzeko prozesuak automatizatzeko tresna berriak garatzea helburu duena.

Nolako programa

Programak ikaskuntza automatikoa eta hodeiko teknologiak erabiltzen dituzten hainbat proiektu ditu, txipak sortzeko fase indibidualak automatizatzeko. Ekimenaren baitan garatzen ari dira (1. diagrama) hamar tresna baino gehiago. Jarraian, zehatzago hitz egingo dugu horietako batzuei buruz: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner RTL eta GDSII liburutegiak kudeatzeko tresna bat da. Azken hauek zirkuitu integratuei eta haien topologiei buruzko informazioa trukatzeko industria estandarra diren datu-base fitxategiak dira. Irtenbidea Docker edukiontzien teknologian oinarritzen da. Flow Runner exekutatu dezakezu hodeian eta lokalean. Instalazio-gida biltegi ofizialean dago GitHub-en.

Ordezkatu ikaskuntza automatikoan oinarritutako hodeiko irtenbide bat da, osagaiak txip batean jartzeaz eta bideratzeaz automatizatzeaz arduratzen dena. Nork datu batzuk, algoritmo adimendunek tresnaren eraginkortasuna % 2-10 handitzen dute sistema klasikoekin alderatuta. Gainera, hodeian inplementatzeak eskalatzea errazten du. Instalazio eta konfigurazio gida ere eskuragarri dago biltegian.

TritonCTS β€” Txipari hornitutako erloju-pultsuak optimizatzeko utilitatea. Erlojuaren seinaleak gailuaren zati guztietara bideratzen laguntzen du atzerapen berdinekin. Funtzionamendu-printzipioa oinarritzen da H-zuhaitzak. Planteamendu hau altxatzen seinalea banatzeko eraginkortasuna %30eko metodo tradizionalekin alderatuta. Garatzaileek diote etorkizunean kopuru hori %56ra igo daitekeela. TritonCTS iturburu-kodea eta script-ak eskuragarri GitHub-en.

IrekiSTA β€” Denboraren analisi estatikorako motorra. Diseinatzaileari aukera ematen dio txiparen funtzionaltasuna egiaztatzeko, benetan muntatu aurretik. Adibide kodea OpenSTAn itxura horrela.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Utilitateak Verilog kodearen, Liberty formatuko liburutegien, SDC fitxategien eta abarren netlisten deskribapenak onartzen ditu.

Abantailak eta desabantailak

IBM eta IEEEko adituak markahodeiko teknologiak eta ikaskuntza automatikoa aspalditik behar direla txiparen ekoizpenean erabiltzeko. Euren ustez, DARPA proiektua ideia honen ezarpenaren adibide arrakastatsu bihur daiteke eta jarriko du industriako aldaketen hasiera.

Halaber, OpenROADen izaera irekiak tresnen inguruan komunitate indartsu bat sortuko duela eta startup berriak erakartzea espero da.

Eztabaida: OpenROAD proiektuak prozesadorearen diseinuaren automatizazioaren arazoa konpondu nahi du
Argazkia - Pexels β€” CC BY

Dagoeneko parte-hartzaileak daude: Michigango Unibertsitatean oinarritutako txipak garatzen dituen laborategi bat, lehenengoa izango da, OpenROAD kode irekiko tresnak probatuko dituena. Baina oraindik ez da jakin soluzio berriek azken produktuen kostuan eragin nabarmena izango duten ala ez.

Orokorrean, DARPAren gidaritzapean garatzen ari diren tresnek eragin positiboa izango dutela espero da prozesadoreen industrian, eta proiektu berri gehiago sortzen hasiko dira arlo honetan. Adibide bat tresna bat izango litzateke Geda β€” osagai kopuru mugagabeko txipak diseinatzeko aukera ematen du. gEDA-k mikrozirkuitu eta plaken bideraketa editatzeko eta modelatzeko utilitateak biltzen ditu. Irtenbidea UNIX plataformetarako garatu zen, baina bere osagai batzuek Windows-en ere funtzionatzen dute. Haiekin lan egiteko gida bat aurki daiteke proiektuaren webguneko dokumentazioan.

Doan eskuragarri dauden tresnek aukera gehiago ematen dizkiete erakunde eta startup independenteei. Baliteke denborarekin, OpenROADek EDA tresnaren garapenari eta txip-diseinuari buruzko ikuspegi berriak industria estandar bihurtzea.

Zeri buruz idazten dugun gure blog korporatiboan:

Iturria: www.habr.com

Gehitu iruzkin berria