Plé: Tá sé beartaithe ag tionscadal OpenROAD fadhb uathoibrithe dearadh próiseálaí a réiteach

Plé: Tá sé beartaithe ag tionscadal OpenROAD fadhb uathoibrithe dearadh próiseálaí a réiteach
Фото - Pexels — CC AG

Ar De réir Tá PWC, an margadh teicneolaíochta leathsheoltóra ag fás - shroich sé $481 billiún anuraidh. Ach a ráta fáis le déanaí laghdaithe. I measc na gcúiseanna atá leis an meath tá castacht na bpróiseas deartha gléas agus easpa uathoibrithe.

Cúpla bliain ó shin, innealtóirí ó Intel scríobhgo gcaithfidh tú 100–150 uirlis bogearraí ar leith a úsáid agus micreaphróiseálaí ardfheidhmíochta á chruthú agat (AGUS Ó). Is féidir leis an scéal a bheith níos measa i gcás feistí ilchineálacha, a n-áirítear a ailtireacht cineálacha éagsúla de sliseanna - ASIC, FPGA, LAP nó GPU. Mar thoradh air sin, tarlaíonn earráidí dearaidh a chuireann moill ar scaoileadh táirgí.

In ainneoin an líon mór uirlisí cúnta, tá iallach ar innealtóirí fós roinnt oibre a dhéanamh de láimh. Údair an leabhair "Sintéis Casta Loighic"deir siad go uaireanta dearthóirí Caithfidh mé scripteanna a scríobh i Scil nó Python de dhá mhilliún líne chun leabharlanna a chruthú le cealla.

Scríobhtar scripteanna freisin chun tuairiscí ginte ag córais EDA a pharsáil. Nuair a bhíonn sliseanna á bhforbairt ag baint úsáide as teicneolaíocht próisis 22nm, féadfaidh na tuarascálacha seo suas le 30 terabytes a ghlacadh.

Chinn DARPA an cás a cheartú agus iarracht a dhéanamh na próisis dearaidh a chaighdeánú. Ag an ngníomhaireacht freisin breithnighgo bhfuil na modhanna atá ann cheana chun sliseanna a chruthú as dáta. Eagraíocht seolta clár cúig bliana Bóthar Oscailte, a bhfuil sé mar aidhm aige uirlisí nua a fhorbairt chun próisis dearadh sliseanna a uathoibriú.

Cén cineál clár

Tá roinnt tionscadal i gceist leis an gclár a bhaineann úsáid as meaisínfhoghlaim agus néalteicneolaíochtaí chun céimeanna aonair de chruthú sliseanna a uathoibriú. Mar chuid den tionscnamh á bhforbairt (Léaráid 1) níos mó ná deich n-ionstraim. Ansin labhróimid níos mine faoi chuid acu: Sreabhadh Runner, RePlAce, TritonCTS, OpenSTA.

Runaire Sreafa is uirlis é chun leabharlanna RTL agus GDSII a bhainistiú. Is comhaid bhunachar sonraí iad na cinn deiridh sin ar chaighdeán tionscail iad chun faisnéis a mhalartú faoi chiorcaid chomhtháite agus a dtopeolaíochtaí. Tá an réiteach bunaithe ar theicneolaíocht coimeádán Docker. Is féidir leat Sreabhadh Runner a reáchtáil sa scamall agus go háitiúil. Tá an treoir suiteála sa stór oifigiúil ar GitHub.

athÁit is réiteach scamall é atá bunaithe ar mheaisínfhoghlaim, atá freagrach as comhpháirteanna a chur ar shlis agus as ródú a uathoibriú. Le roinnt sonraí, méadaíonn halgartaim chliste éifeachtacht na huirlise faoi 2-10% i gcomparáid le córais chlasaiceacha. Ina theannta sin, déanann cur i bhfeidhm sa scamall scálú níos éasca. Tá treoir suiteála agus cumraíochta ar fáil freisin sa stór.

TritonCTS — fóntais chun bíoga cloig a sholáthraítear don tslis a bharrfheabhsú. Cuidíonn sé le comharthaí cloig bealaigh chuig gach cuid den fheiste leis an moill chéanna. Tá an prionsabal oibríochta bunaithe ar H-crainn. An cur chuige seo feabhsaíonn éifeachtúlacht dáileadh comhartha 30% i gcomparáid le modhanna traidisiúnta. Deir na forbróirí gur féidir an figiúr seo a mhéadú go 56% sa todhchaí. Cód foinse TritonCTS agus scripteanna ar fáil ar GitHub.

OscailSTA — inneall le haghaidh anailíse uainiúcháin statach. Tugann sé deis don dearthóir feidhmiúlacht an tslis a sheiceáil sula gcuirtear le chéile i ndáiríre é. Cód samplach in OpenSTA ag teastáil mar seo.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Tacaíonn an fóntais le tuairiscí glanliosta ar chód Verilog, leabharlanna formáid Liberty, comhaid SDC, etc.

Buntáistí agus míbhuntáistí

Saineolaithe ó IBM agus IEEE ceiliúradh a dhéanamhgo bhfuil sé thar téarma néalteicneolaíochtaí agus meaisínfhoghlaim le húsáid i dtáirgeadh sliseanna. Ina thuairim, is féidir leis an tionscadal DARPA bheith ina shampla rathúil de chur i bhfeidhm an smaoineamh seo agus cuirfidh tús na n-athruithe sa tionscal.

Táthar ag súil freisin go gcruthóidh nádúr oscailte OpenROAD pobal cumhachtach timpeall na n-uirlisí agus go meallfaidh sé tosaithe nua.

Plé: Tá sé beartaithe ag tionscadal OpenROAD fadhb uathoibrithe dearadh próiseálaí a réiteach
Фото - Pexels — CC AG

Tá rannpháirtithe ann cheana féin - saotharlann ag forbairt sceallóga atá bunaithe in Ollscoil Michigan, beidh an chéad, a dhéanfaidh tástáil ar uirlisí foinse oscailte OpenROAD. Ach ní fios fós an mbeidh réitigh nua in ann tionchar suntasach a bheith acu ar chostas na dtáirgí deiridh.

Ar an iomlán, táthar ag súil go mbeidh tionchar dearfach ag na huirlisí atá á bhforbairt faoi cheannaireacht DARPA ar thionscal na bpróiseálaithe, agus cuirfear tús le níos mó tionscadal nua ag teacht chun cinn sa réimse seo. Uirlis a bheadh ​​mar shampla gEDA - ligeann sé duit sliseanna a dhearadh le líon neamhtheoranta comhpháirteanna. Áirítear le gEDA fóntais chun micreachiorcaid agus ródú cláir a chur in eagar agus a shamhaltú. Forbraíodh an réiteach le haghaidh ardáin UNIX, ach oibríonn roinnt dá chomhpháirteanna faoi Windows freisin. Is féidir treoir a fháil maidir le bheith ag obair leo sna doiciméid ar shuíomh Gréasáin an tionscadail.

Tugann uirlisí atá ar fáil saor in aisce níos mó roghanna d’eagraíochtaí neamhspleácha agus do ghnólachtaí nuathionscanta. Is féidir le himeacht ama, go bhféadfadh cur chuige nua OpenROAD maidir le forbairt uirlisí EDA agus dearadh sliseanna a bheith ina chaighdeán tionscail.

Cad a scríobhaimid faoi inár mblag corparáideach:

Foinse: will.com

Add a comment