Deasbad: Tha am pròiseact OpenROAD an dùil fuasgladh fhaighinn air duilgheadas fèin-ghluasaid dealbhadh pròiseasar

Deasbad: Tha am pròiseact OpenROAD an dùil fuasgladh fhaighinn air duilgheadas fèin-ghluasaid dealbhadh pròiseasar
Фото - Pexels — CC BY

Le dàta Tha PWC, am margadh teicneòlas semiconductor a 'fàs - an-uiridh ràinig e $ 481 billean. Ach an ìre fàis aige o chionn ghoirid lùghdaich. Tha adhbharan airson a’ chrìonaidh a’ toirt a-steach pròiseasan dealbhaidh inneal troimh-chèile agus dìth fèin-ghluasaid.

O chionn beagan bhliadhnaichean, innleadairean bho Intel sgrìobhnuair a chruthaicheas tu microprocessor àrd-choileanaidh feumaidh tu 100-150 inneal bathar-bog fa leth a chleachdadh (AGUS BHO). Faodar an suidheachadh a dhèanamh nas miosa a thaobh innealan ioma-ghnèitheach, agus tha an ailtireachd a ’toirt a-steach grunn sheòrsaichean de chips - ASIC, FPGA, CPU no GPU. Mar thoradh air an sin, tha mearachdan dealbhaidh a’ tachairt a chuireas dàil air sgaoileadh thoraidhean.

A dh'aindeoin an àireamh mhòr de dh'innealan taice, feumaidh innleadairean fhathast beagan obrach a dhèanamh le làimh. Tha ùghdaran an leabhair "Synthesis loidsig adhartach“Tha iad ag ràdh gu bheil luchd-dealbhaidh uaireannan feumar sgrìobh sgriobtaichean ann an Skill no Python de dhà mhillean loidhne gus leabharlannan a chruthachadh le ceallan.

Tha sgriobtaichean cuideachd air an sgrìobhadh gus aithisgean a ghineadh le siostaman EDA a pharsadh. Nuair a bhios tu a’ leasachadh chip a’ cleachdadh teicneòlas pròiseas 22nm, faodaidh na h-aithisgean sin suas ri 30 terabytes a ghabhail.

Cho-dhùin DARPA an suidheachadh a cheartachadh agus feuchainn ris na pròiseasan dealbhaidh a dhèanamh àbhaisteach. Aig a’ bhuidheann cuideachd beachdaichgu bheil na dòighean a th’ ann mu thràth airson chips a chruthachadh seann-fhasanta. Eagrachadh air a chuir air bhog prògram còig bliadhna Rathad Fosgailte, a tha ag amas air innealan ùra a leasachadh gus pròiseasan dealbhaidh chip a dhèanamh fèin-ghluasadach.

Dè seòrsa prògram a

Tha am prògram a’ toirt a-steach grunn phròiseactan a bhios a’ cleachdadh ionnsachadh innealan agus teicneòlasan sgòthan gus ìrean fa leth de chruthachadh chip a dhèanamh fèin-ghluasadach. Mar phàirt den iomairt gan leasachadh (dealbh 1) barrachd air deich ionnstramaidean. An ath rud bruidhnidh sinn nas mionaidiche mu chuid dhiubh: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Runaiche Sruth na inneal airson leabharlannan RTL agus GDSII a riaghladh. Tha an fheadhainn mu dheireadh nam faidhlichean stòr-dàta a tha nan inbhe gnìomhachais airson a bhith ag iomlaid fiosrachaidh mu chuairtean amalaichte agus an topologies. Tha am fuasgladh stèidhichte air teicneòlas Docker container. Faodaidh tu ruith Flow Runner an dà chuid san sgòth agus gu h-ionadail. Tha an stiùireadh stàlaidh anns an stòr oifigeil air GitHub.

Àite na fhuasgladh sgòthan stèidhichte air ionnsachadh innealan, air a bheil uallach airson co-phàirtean a chuir air sliseag agus slighe fèin-ghluasadach. Le bhith beagan dàta, bidh algorithms tùrail a’ meudachadh èifeachdas an inneil le 2-10% an taca ri siostaman clasaigeach. A bharrachd air an sin, tha buileachadh san sgòth a’ dèanamh sgèileadh nas fhasa. Tha stiùireadh stàlaidh is rèiteachaidh ri fhaighinn cuideachd anns an ionad-tasgaidh.

TritonCTS - goireas airson a bhith ag àrdachadh buillean gleoc a chaidh a thoirt don chip. A’ cuideachadh comharran gleoc slighe gu gach pàirt den inneal leis an aon dàil. Tha am prionnsapal obrachaidh stèidhichte air H-chraobhan. An dòigh-obrach seo ag èirigh èifeachdas cuairteachaidh chomharran 30% an coimeas ri dòighean traidiseanta. Tha an luchd-leasachaidh ag ràdh gum faod am figear seo àrdachadh gu 56% san àm ri teachd. Còd stòr TritonCTS agus sgriobtaichean rim faighinn air GitHub.

Fosgail STA - einnsean airson mion-sgrùdadh ùine statach. Bheir e cothrom don dealbhaiche sgrùdadh a dhèanamh air gnìomhachd a’ chip mus tèid a chruinneachadh. Eisimpleir còd ann an OpenSTA coltach mar sin.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Bidh an goireas a’ toirt taic do thuairisgeulan netlist de chòd Verilog, leabharlannan cruth Liberty, faidhlichean SDC, msaa.

Buannachdan agus eas-bhuannachdan

Eòlaichean bho IBM agus IEEE comharrachadhgu bheil teicneòlasan sgòthan agus ionnsachadh innealan fada ro fhada airson an cleachdadh ann an cinneasachadh chip. Nam beachd-san, faodaidh am pròiseact DARPA a bhith na eisimpleir soirbheachail de bhuileachadh a’ bheachd seo agus cuiridh toiseach atharrachaidhean sa ghnìomhachas.

Thathas an dùil cuideachd gun cruthaich nàdar fosgailte OpenROAD coimhearsnachd chumhachdach timcheall air na h-innealan agus a tharraingeas luchd-tòiseachaidh ùra.

Deasbad: Tha am pròiseact OpenROAD an dùil fuasgladh fhaighinn air duilgheadas fèin-ghluasaid dealbhadh pròiseasar
Фото - Pexels — CC BY

Tha com-pàirtichean ann mu thràth - obair-lann a’ leasachadh chips stèidhichte aig Oilthigh Michigan, bidh a’ chiad, a nì deuchainn air innealan stòr fosgailte OpenROAD. Ach chan eil fios fhathast am bi e comasach dha fuasglaidhean ùra buaidh shònraichte a thoirt air cosgais thoraidhean deireannach.

Gu h-iomlan, thathar an dùil gum bi buaidh mhath aig na h-innealan a thathar a’ leasachadh fo stiùireadh DARPA air gnìomhachas a’ phròiseasar, agus tòisichidh barrachd phròiseactan ùra a’ nochdadh san raon seo. Bhiodh eisimpleir mar inneal gEDA - leigidh e leat chips a dhealbhadh le àireamh neo-chuingealaichte de cho-phàirtean. Tha gEDA a’ toirt a-steach goireasan airson deasachadh agus modaladh microcircuits agus slighe bùird. Chaidh am fuasgladh a leasachadh airson àrd-ùrlaran UNIX, ach tha grunn de na pàirtean aige cuideachd ag obair fo Windows. Gheibhear stiùireadh mu bhith ag obair còmhla riutha anns na sgrìobhainnean air làrach-lìn a’ phròiseict.

Bheir innealan a tha rim faighinn an-asgaidh barrachd roghainnean do bhuidhnean neo-eisimeileach agus luchd-tòiseachaidh. Tha e comasach, thar ùine, gum faodadh dòighean-obrach ùra OpenROAD a thaobh leasachadh innealan EDA agus dealbhadh chip a thighinn gu bhith nan inbhe gnìomhachais.

Na bhios sinn a’ sgrìobhadh mu dheidhinn nar blog corporra:

Source: www.habr.com

Cuir beachd ann