Tattaunawa: Aikin OpenROAD yana da niyyar magance matsalar sarrafa kayan sarrafawa

Tattaunawa: Aikin OpenROAD yana da niyyar magance matsalar sarrafa kayan sarrafawa
Ото - Pexels - CC BY

By bayarwa PWC, kasuwar fasaha ta semiconductor tana girma - a bara ta kai dala biliyan 481. Amma girman girmansa kwanan nan ragu. Daga cikin dalilan da suka haifar da raguwar sun hada da sarkakiyar hanyoyin kera na'ura da kuma rashin aiki da kai.

Bayan 'yan shekarun da suka gabata, injiniyoyi daga Intel ya rubutacewa lokacin ƙirƙirar microprocessor mai girma dole ne ku yi amfani da kayan aikin software daban 100-150 (KUMA DAGA). Halin na iya ƙara tsananta yanayin na'urori daban-daban, gine-ginen wanda ya haɗa da nau'ikan kwakwalwan kwamfuta daban-daban - ASIC, FPGA, CPU ko GPU. A sakamakon haka, kurakuran ƙira suna faruwa waɗanda ke jinkirta sakin samfuran.

Duk da yawan kayan aikin taimako, injiniyoyi har yanzu suna tilasta yin wasu ayyuka da hannu. Marubutan littafin"Advanced Logic Synthesis"Suna cewa wani lokacin masu zane-zane ya to rubuta rubutun a cikin Skill ko Python na layukan miliyan biyu don ƙirƙirar ɗakunan karatu da su Kwayoyin.

Ana kuma rubuta rubutun don rarraba rahotannin da tsarin EDA ya haifar. Lokacin haɓaka guntu ta amfani da fasahar tsari na 22nm, waɗannan rahotanni na iya ɗaukar har zuwa terabytes 30.

DARPA ya yanke shawarar gyara halin da ake ciki kuma yayi kokarin daidaita tsarin tsarin. A hukumar ma yi la’akaricewa hanyoyin da ake da su don ƙirƙirar kwakwalwan kwamfuta sun tsufa. Ƙungiya ƙaddamar shirin shekara biyar Bude ROAD, wanda ke nufin haɓaka sabbin kayan aiki don sarrafa ayyukan ƙirar guntu.

Wane irin shiri ne

Shirin ya ƙunshi ayyuka da yawa waɗanda ke amfani da koyan na'ura da fasahar gajimare don sarrafa kowane matakai na ƙirƙirar guntu. A matsayin wani bangare na shirin ana bunkasa (hoto na 1) fiye da kayan kida goma. Na gaba za mu yi magana dalla-dalla game da wasu daga cikinsu: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Gudun Gudu kayan aiki ne don sarrafa ɗakunan karatu na RTL da GDSII. Ƙarshen fayilolin bayanai ne waɗanda ma'auni ne na masana'antu don musayar bayanai game da haɗaɗɗun da'irori da yanayin su. Maganin ya dogara ne akan fasahar kwantena Docker. Kuna iya gudu Flow Runner duka a cikin gajimare da cikin gida. Jagoran shigarwa yana cikin ma'ajiyar hukuma ku GitHub.

RePlAce wani bayani ne na girgije wanda ya dogara da na'ura koyo, wanda ke da alhakin sanya abubuwan da aka gyara a kan guntu da sarrafawa ta atomatik. By wasu bayanai, Algorithms masu hankali suna haɓaka ingantaccen kayan aiki da 2-10% idan aka kwatanta da tsarin gargajiya. Bugu da ƙari, aiwatarwa a cikin gajimare yana sa ƙaddamar da sauƙi. Akwai kuma jagorar shigarwa da daidaitawa a cikin ma'ajiyar.

TritonCTS - mai amfani don inganta bugun jini da aka kawo wa guntu. Taimaka hanyar siginar agogo zuwa duk sassan na'urar tare da jinkiri iri ɗaya. Ka'idar aiki ta dogara ne akan H-bishiyoyi. Wannan hanya kiwata ingancin rarraba sigina ta hanyar 30% idan aka kwatanta da hanyoyin gargajiya. Masu haɓakawa sun ce a nan gaba za a iya ƙara wannan adadi zuwa 56%. Akwai lambar tushe na TritonCTS da rubutun ku GitHub.

BudeSTA - inji don nazarin lokaci a tsaye. Yana ba mai ƙira damar duba ayyukan guntu kafin a haɗa shi da gaske. Lambar misali a cikin OpenSTA yayi kama kamar wannan.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Mai amfani yana goyan bayan bayanan saƙo na lambar Verilog, ɗakunan karatu na tsarin 'Yanci, fayilolin SDC, da sauransu.

Abũbuwan amfãni da rashin amfani

Masana daga IBM da IEEE bikincewa fasahar girgije da koyon injin sun daɗe don amfani da su wajen samar da guntu. A ra'ayinsu, aikin DARPA zai iya zama misali mai nasara na aiwatar da wannan ra'ayin kuma zai saka farkon canje-canje a cikin masana'antu.

Hakanan ana tsammanin cewa yanayin buɗewa na OpenROAD zai haifar da al'umma mai ƙarfi a kusa da kayan aikin kuma ya jawo sabbin masu farawa.

Tattaunawa: Aikin OpenROAD yana da niyyar magance matsalar sarrafa kayan sarrafawa
Ото - Pexels - CC BY

An riga an sami mahalarta - dakin gwaje-gwaje masu tasowa kwakwalwan kwamfuta wanda ke Jami'ar Michigan, zai zama na farko, wanda zai gwada OpenROAD kayan aikin budewa. Amma har yanzu ba a san ko sabbin hanyoyin magance za su iya yin tasiri mai tasiri akan farashin samfuran ƙarshe ba.

Gabaɗaya, ana sa ran kayan aikin da ake haɓakawa a ƙarƙashin jagorancin DARPA za su yi tasiri mai kyau a kan masana'antar sarrafa kayayyaki, kuma ƙarin sabbin ayyuka za su fara fitowa a wannan yanki. Misali zai zama kayan aiki gEDA - yana ba ku damar tsara kwakwalwan kwamfuta tare da adadin abubuwan da ba su da iyaka. gEDA ya haɗa da abubuwan amfani don gyarawa da ƙirar ƙirar microcircuits da kwatancen allo. An ƙirƙiri maganin don dandamali na UNIX, amma yawancin abubuwan haɗin sa kuma suna aiki a ƙarƙashin Windows. Ana iya samun jagorar aiki tare da su a cikin takaddun akan gidan yanar gizon aikin.

Kayan aikin da ake da su kyauta suna ba ƙungiyoyi masu zaman kansu da masu farawa ƙarin zaɓuɓɓuka. Yana yiwuwa bayan lokaci, sababbin hanyoyin OpenROAD don haɓaka kayan aikin EDA da ƙirar guntu na iya zama ma'aunin masana'antu.

Abin da muka rubuta game da shi a cikin rukunin yanar gizon mu:

source: www.habr.com

Add a comment