Google ya ba da damar samar da batches gwaji na buɗaɗɗen kwakwalwan kwamfuta kyauta

Google, tare da haɗin gwiwar kamfanonin kera SkyWater Technology da Efable, sun ƙaddamar da wani shiri wanda zai ba masu haɓaka kayan aikin buɗaɗɗen damar yin guntuwar da suka haɓaka kyauta. Wannan yunƙurin yana nufin haɓaka haɓaka haɓaka kayan aikin buɗe ido, rage farashin haɓaka ayyukan buɗe ido da sauƙaƙe hulɗa tare da masana'anta. Godiya ga wannan yunƙurin, kowa zai iya fara haɓaka guntu na al'ada na kansa ba tare da tsoron tsadar farashin samar da samfuran farko ba. Duk farashin samarwa, marufi da jigilar kaya Google ne ke rufe su.

Ana iya ƙaddamar da aikace-aikacen haɗawa a cikin shirin samarwa kyauta sau ɗaya kowane watanni biyu. Za a rufe ramin mafi kusa a ranar 8 ga Yuni, kuma za a shirya guntuwar da suka samu shiga cikinsa a ranar 30 ga Agusta kuma a aika wa marubuta a ranar 18 ga Oktoba. Daga aikace-aikacen da aka ƙaddamar, an zaɓi ayyukan 40 (idan aikace-aikacen da aka ƙaddamar ba su da ƙasa da 40, to, duk waɗanda suka wuce rajistan daidaito za a sanya su cikin samarwa). Dangane da sakamakon samarwa, mai haɓakawa zai karɓi kwakwalwan kwamfuta 50 da allon 5 tare da kwakwalwan kwamfuta da aka shigar.

Ana karɓar aikace-aikacen daga ayyukan da aka rarraba gabaɗaya ƙarƙashin lasisin buɗaɗɗe, ba tare da yarjejeniyar da ba a bayyana ba (NDAs) kuma ba ta iyakance iyakokin amfani da samfuran su ba. Dole ne a canja wurin bayanai don samarwa a cikin tsarin GDSII, wuce saitin gwajin da aka bayar kuma a sake bugawa daga fayilolin ƙirar tushe (watau ƙaddamar da aikin tushen buɗewa, amma ba za ku iya ƙaddamar da ƙirar mallakar mallaka don samarwa ba).

Don sauƙaƙe ci gaban guntu, akwai kayan aikin buɗe tushen masu zuwa:

  • SkyWater PDK (Kit ɗin Tsarin Tsari), kayan aikin kayan aiki wanda ke bayyana tsarin fasaha na 130nm (SKY130) da aka yi amfani da shi a cikin injin SkyWater kuma yana ba ku damar shirya fayilolin ƙira waɗanda suka dace don samar da microcircuits.
  • OpenLane saitin abubuwan gyara ne don jujjuya kai tsaye na ƙirar da'irar RTL na takamaiman kwakwalwan kwamfuta (ASICs) zuwa tsarin GDSII da ake amfani da shi a masana'antar guntu.
    Google ya ba da damar samar da batches gwaji na buɗaɗɗen kwakwalwan kwamfuta kyauta
  • XLS (Accelerated HW Synthesis) kayan aiki ne don haɗa fayilolin ƙira tare da kayan aikin guntu wanda ya dace da bayanin babban matakin da aka bayar na aikin da ake buƙata, wanda aka ƙera a cikin salon haɓaka software.
  • Saitin dokoki don tsarin taron Bazel tare da tallafi don kayan aikin buɗewa (Yosys, Verilator, OpenROAD) don aiki tare da harsunan bayanin kayan masarufi (Verilog, VHDL, Chisel, nMigen).
  • OpenROAD tsari ne don sarrafa sarrafa tsarin haɓakawa na buɗaɗɗen tushen microcircuits.
  • Verible saitin kayan aiki ne don haɓakawa a cikin yaren Verilog, gami da parser, tsarin tsara salo da linter.

source: budenet.ru

Add a comment