Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)

Shekaru da yawa da suka wuce na saba da masu sarrafa microcontroller na Rasha daga Milander. Ya kasance 2013, lokacin da injiniyoyi ke tattaunawa sosai game da sakamakon farko na Shirin Target na Tarayya "Haɓaka tushen kayan lantarki da kayan lantarki na rediyo" na 2008-2015. A wancan lokacin, an riga an saki K1986BE9x mai sarrafa (Cortex-M3 core), kuma 1986BE1T mai sarrafa (Cortex-M1 core) ya bayyana. A cikin akwati na filastik, LQFP-144, yana da ƙirar K1986BE1QI (jirgin sama) a cikin takaddun, kuma akan guntu kanta ƙirar MDR32F1QI. A gidan yanar gizon masana'anta yana da ma'anar "avia", tun da yake yana da musaya na musamman ga masana'antar jirgin sama (ARINC 429, MIL_STD_1553).

Abin mamaki, a lokacin rarraba waɗannan masu sarrafawa, kamfanin Milander ya shirya kayan gyara kayan aiki da ɗakin karatu na ƙananan kayan aiki don aiki tare da kayan aiki, "amma ba tare da wani ƙarin garanti ko wajibai game da daidaitaccen ɗakin karatu ba." Laburaren yayi kama da Standard Peripheral Library daga STMicroelectronics. Gabaɗaya, duk masu sarrafa ARM da aka gina akan Cortex-M core suna da yawa a gama gari. A saboda wannan dalili, fahimtar sababbin masu kula da Rasha ya ci gaba da sauri. Kuma ga waɗanda suka sayi na'urorin lalata masu alama, an ba da tallafin fasaha yayin amfani.

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
Kayan aikin gyara kuskure don microcontroller 1986BE1T, © Milander

Duk da haka, bayan lokaci, "cututtukan yara" na sababbin microcircuits da ɗakunan karatu sun fara bayyana. Misalan gwaji na firmware sun yi aiki ba tare da matsaloli na bayyane ba, amma tare da gyare-gyare masu mahimmanci, hadarurruka da kurakurai sun faru. "Hadiya" na farko a cikin aikina shine gazawar da ba za a iya bayyanawa ba a cikin aikin mai kula da CAN. Bayan shekara guda, an gano matsala tare da tsarin akan mai kula da 1986BE1T (jirgin sama) na farkon bita. MKIO (tashar musayar bayanai da yawa). Gabaɗaya, duk bita na waɗannan microcontrollers har zuwa 2016 suna da iyakacin amfani. An dauki lokaci mai yawa da jijiyoyi don gano waɗannan matsalolin, wanda a yanzu ana iya samun tabbaci a ciki Lissafin kuskure (Errata).

Wani abu mai ban sha'awa shi ne cewa ya zama dole a yi aiki da kuma magance kurakurai ba a kan allunan lalata ba, amma akan kwamfyutocin samfuri na na'urorin da aka tsara don samar da masana'anta na serial. Yawancin lokaci babu komai a wurin sai mai haɗin JTAG. Haɗawa tare da mai nazarin dabaru yana da wahala kuma bai dace ba, kuma yawanci babu LEDs ko allo. Saboda wannan dalili, ra'ayin ƙirƙirar allon gyara kaina ya bayyana a kaina.

A gefe guda, an sami alamun kayan aikin lalata a kasuwa, da kuma allunan ban mamaki daga kamfanin LDM-Systems daga Zelenograd. A gefe guda, farashin waɗannan samfuran suna da ban mamaki, kuma ainihin aikin ba tare da katunan fadada ba ya cika tsammanin. Allo mai siyar da mai sarrafawa da mai haɗa fil ba ruwana da ni. Kuma ƙarin allon ban sha'awa suna da tsada.

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
Hukumar haɓaka MILANDR LDM-HELPER-K1986BE1QI-FULL, © LDM Systems

Kamfanin Milander yana da manufofin farashi da tallace-tallace na musamman. Don haka, yana yiwuwa a sami samfuran kyauta na wasu microcircuits, amma wannan yana samuwa ga ƙungiyoyin doka kawai kuma yana da alaƙa da neman bureaucratic. Gabaɗaya, microcircuits a cikin yanayin karfe- yumbu zinariya ne a zahiri da ma'ana. Alal misali, mai kula da 1986BE1T yana kashe daga 14 zuwa 24 dubu rubles a Moscow. 1645RU6U guntu ƙwaƙwalwar ajiya yana kashe daga 15000 rubles. Kuma wannan shine odar farashin ga duk samfuran. Sakamakon haka, hatta cibiyoyin bincike na musamman tare da umarnin gwamnati suna adana kuɗi kuma suna guje wa irin wannan farashin. Microcircuits a cikin akwati na filastik don amfanin farar hula yana da rahusa sosai, amma ba sa samuwa daga mashahuran masu kaya. Bugu da ƙari, ingancin microcircuits a cikin akwati na filastik, ga alama a gare ni, ya fi muni fiye da "zinariya". Misali, ba zan iya tafiyar da K1986BE1QI mai sarrafa a 128 MHz ba tare da ƙara siginar latency ba. A lokaci guda, zafin wannan mai kula ya tashi zuwa 40-50C. Amma 1986BE1T ("zinariya") mai kulawa ya fara a 128 MHz ba tare da ƙarin saitunan ba kuma ya kasance sanyi. Yana da kyau kwarai.

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
"Golden" microcontroller 1986BE1T, (c) Milander

Na yi sa'a cewa har yanzu ana iya siyan microcontroller a cikin akwati na filastik a dillali daga LDM Systems, kuma ana samun duk zane-zane na allo kyauta. Mummunan abu shine cewa a kan gidan yanar gizon a cikin hoton mai sarrafawa za ku iya ganin alamar da ta ce wannan shine bita na 4th na 2014, watau. tare da lahani. Na dade ina tunanin ko in saya ko a'a. Shekaru da dama sun shude kamar haka...

Tunanin ƙirƙirar allon gyara bai ɓace a ko'ina ba. A hankali, na tsara duk abubuwan da ake buƙata kuma na yi tunanin yadda zan sanya su duka a kan allo ɗaya don ya zama ɗan ƙaramin ƙarfi kuma ba mai tsada ba. A lokaci guda, na ba da odar abubuwan da suka ɓace daga Sinawa. Ban yi gaggawa ba - Na yi komai don kaina. Masu samar da kayayyaki na kasar Sin sun yi fice sosai - Dole ne in yi odar abu iri daya daga wurare daban-daban don samun duk abin da nake bukata. Bugu da ƙari, wasu daga cikin kwakwalwan ƙwaƙwalwar ajiya sun juya don amfani da su - a fili an sayar da su daga na'urori masu fashewa. Wannan ya dawo da ni daga baya.

Siyan microcontroller Milander K1986BE1QI (iska) ba abu ne mai sauƙi ba. A cikin wannan Chip da Dip Store, a cikin sashin "Abubuwan da za a yi oda", Na sami K1986BE92QI kawai don 740 rubles, amma bai dace da ni ba. Zaɓin kawai shine siyan sabon bita daga LDM-Systems akan 2000 rubles. Tun da na kasa samun wanda zai maye gurbinsa a wani wuri dabam, na yanke shawarar siyan abin da nake da shi. Abin mamaki na, sun sayar da ni sabon mai sarrafa abin da aka ƙera a cikin Disamba 2018, bita 6+ (1820). Amma har yanzu shafin yana da tsohon hoto, kuma har zuwa lokacin rubuta na'urar ba ta samuwa...

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
Microcontroller K1986BE1QI (jirgin sama) a cikin fakitin fasaha, (c) Hoton marubucin

Babban halayen fasaha na allon gyara na Saukewa: MDB1986 mai zuwa:

  • ginannen mai gyara-tsara, mai jituwa tare da J-Link da CMSIS-DAP;
  • Ƙwaƙwalwar ƙwaƙwalwar ajiya 4Mbit (256k x 16, 10 ns);
  • guntu ƙwaƙwalwar ajiya 64Mbit, Winbond 25Q64FVSIG;
  • RS-232 transceiver dubawa tare da RTS da CTS Lines;
  • musaya da masu haɗawa don Ethernet, USB, CAN;
  • MAX7 7221 mai kula da nuni;
  • mai haɗa fil don aiki tare da MKIO (MIL_STD_1553) da ARINC429;
  • phototransistor Everlight PT17-21C;
  • LEDs masu launi biyar, maɓallin sake saiti da maɓallin mai amfani guda biyu;
  • wutar lantarki zuwa tashar USB shine 5 volts;
  • Matsakaicin allon da aka buga 100 x 80, mm

Ina son jerin allunan STM-Discovery saboda suna da ginanniyar mai gyara shirye-shirye - ST-Link. Alamar ST-Link kawai tana aiki tare da masu sarrafawa daga STMicroelectronics, amma shekaru biyu da suka gabata ya zama mai yiwuwa a sabunta firmware a cikin ST-Link kuma samun SEGGER J-Link OB (a kan-jirgin) Debugger. A bisa doka, akwai ƙuntatawa akan yin amfani da irin wannan mai cirewa kawai tare da allunan STMicroelectronics, amma a zahiri yuwuwar ba ta da iyaka. Don haka, samun J-Link OB, za ku iya samun ginanniyar ginanniyar shirye-shirye-debugger akan allon cirewa. Na lura cewa samfuran LDM-Systems suna amfani da mai canza CP2102 (Usb2Uart), wanda ke iya walƙiya kawai.

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
STM32F103C8T6 microcontrollers, na gaske kuma ba na gaske ba, (c) Hoton marubucin

Don haka, ya zama dole don siyan STM32F103C8T6 na asali, tunda firmware mai mallakar ba zai yi aiki daidai da clone ba. Na yi shakkar wannan kasida kuma na yanke shawarar gwada mai sarrafa CS32F103C8T6 daga kamfanin CKS na kasar Sin. Ba ni da wani korafi game da mai sarrafa kansa, amma firmware na ST-Link na mallakar mallakar bai yi aiki a ciki ba. J-Link ya yi aiki a wani bangare - an gano na'urar USB, amma mai tsara shirye-shiryen bai yi ayyukansa ba kuma yana tunatar da cewa yana da "lalacewa".

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
Kuskure lokacin gudanar da mai gyara kuskure akan abin da ba na asali ba

Ban gamsu da wannan ba kuma na fara rubuta firmware don kyaftawa LED, sannan na aiwatar da buƙatar IDCODE ta amfani da yarjejeniyar JTAG. Mai shirye-shiryen ST-Link, wanda nake da shi a kan allo na Discovery, da ST-Link Utility shirin sun haskaka CS32F103C8T6 ba tare da wata matsala ba, a ƙarshe, na tabbata cewa hukumar ta tana aiki. Don jin daɗina, mai kula da manufa K1986BE1QI (jirgin sama) cikin fara'a ya fitar da IDCODE ta layin TDO.

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
Oscillogram na layin siginar TDO tare da rufaffiyar amsa IDCODE, (c) Hoton marubucin

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
Don haka tashar jiragen ruwa na SWD ta zo da amfani don gyara mai gyara da kanta da duba IDCODE

Akwai zaɓi tare da mai gyara kuskure CMSIS-DAP (Debug Access Port). Gina aikin daga tushen ARM ba aiki mai sauƙi ba ne, na ɗauki aikin daga X893, sannan na gwada DAP42. Abin takaici, Keil uVision ya daskare kuma baya son yin aiki tare da su. Sakamakon haka, na maye gurbin guntu mai gyarawa tare da STM32F103C8T6 na mallakar mallaka kuma ban sake komawa kan wannan batu ba.

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
Nasarar aiki na ginanniyar gyara J-Link STLink V2

Lokacin da aka sami duk mahimman abubuwan haɗin ginin gaba na gaba, na shiga cikin Eagle CAD kuma na gano cewa ba sa cikin ɗakin karatu na kashi. Babu inda zan je - Dole ne in zana su da kaina. A lokaci guda, na yi wuraren hawa don ƙwaƙwalwar ajiya, mai haɗin HanRun don Ethernet, da kuma ƙara firam don masu tsayayya da capacitors. Ana iya samun fayil ɗin aikin da ɗakin karatu na bangaren akan GitHub na.

Tsarin tsari na hukumar haɓaka MDB1986Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)

Ana yin amfani da allon ta hanyar tushen 5 volt DC da aka samu daga tashar USB. Akwai jimillar tashoshin USB Type-B guda biyu akan allo. Ɗayan na mai tsara shirye-shirye ne, na biyu kuma na mai sarrafa K1986BE1QI ne. Hukumar tana iya aiki daga ɗayan waɗannan kafofin ko duka biyun lokaci guda. Ana aiwatar da tsarin ɗaukar nauyi mafi sauƙi da kariyar layin wutar lantarki ta amfani da diodes Schottky, a cikin kewaye D2 da D3 (SS24). Hakanan a cikin zane zaku iya ganin fuses F1 da F2 masu dawo da kai akan 500mA. Ana kiyaye layukan siginar tashar USB ta hanyar taron diode USBLC6-2SC6.

An san da'irar ST-Link debugger-programmer ga mutane da yawa; ana iya samun shi a cikin takaddun takaddun allon STM32-Discovery da sauran hanyoyin. Don firmware na farko na ST-Link/J-Link-OB/DAP clone (na zaɓi), Na fitar da layin SWDIO (PA13), SWCLK (PA14), GND. Mutane da yawa suna amfani da UART don firmware kuma an tilasta musu su ja BOOT jumpers. Amma na sami SWD ya fi dacewa, kuma wannan ƙa'idar tana ba da damar yin kuskure.

Kusan dukkan abubuwan da ke cikin hukumar ana yin su ne da 3.3 volts, waɗanda suka fito daga mai sarrafa ƙarfin lantarki na AMS1117-3.3. Don murkushe tsangwama na lantarki da hawan jini na yanzu, ana amfani da masu tacewa na LC daga capacitors da chokes na jerin BLM31PG.

Na dabam, yana da daraja ambaton direban nunin MAX7 7221-segment. Dangane da ƙayyadaddun bayanai, da shawarar samar da wutar lantarki daga 4 zuwa 5.5 volts, kuma babban matakin sigina (ma'ana ɗaya) shine aƙalla 3.5V (0.7 x VCC), tare da wadatar 5V. Don mai kula da K1986BE1QI (jirgin sama), fitowar naúrar ma'ana yayi daidai da ƙarfin lantarki daga 2.8 zuwa 3.3V. Babu shakka akwai rashin daidaituwa tsakanin matakan sigina wanda zai iya tsoma baki tare da aiki na yau da kullun. Na yanke shawarar yin iko da MAX7221 a 4V kuma na rage matakan siginar zuwa 2.8V (0.7 x 4 = 2.8). Don yin wannan, an shigar da diode D4 (RS1A ko FR103) a cikin da'irar wutar lantarki. Jimlar yawan ƙarfin lantarki shine 0.9V (Schottky diode 0.3V da diode 0.6V), kuma komai yana aiki.

Yawancin tashoshin jiragen ruwa na K1986BE1QI (jirgin sama) microcontroller sun dace da sigina har zuwa 5V. Saboda haka, babu matsala ta amfani da MCP2551 CAN transceiver, wanda kuma ke aiki akan 5V. An nuna guntu MAX232 a matsayin mai karɓar RS-3232 a cikin zane, amma a gaskiya na yi amfani da SN65C3232D daga Texas Instruments, saboda Yana aiki daga 3.3V kuma yana ba da saurin gudu zuwa 1Mbit/s.

Jirgin ya ƙunshi resonators quartz 4 - ɗaya don mai lalata (8 MHz) da uku don ƙirar microcontroller K1986BE1QI (jirgin sama) tare da ƙimar 32.768 kHz, 16 MHz, 25 MHz. Waɗannan su ne abubuwan da suka dace, saboda Ma'auni na ginanniyar RC oscillator suna cikin kewayo mai faɗi daga 6 zuwa 10 MHz. Ana buƙatar mitar 25 MHz don aikin ginanniyar mai sarrafa Ethernet. Don wasu dalilai, gidan yanar gizon Milandra (watakila bisa kuskure) ya bayyana cewa akwati na filastik ba shi da Ethernet. Amma za mu dogara da ƙayyadaddun bayanai da hujjoji.

Wani muhimmin abin ƙarfafawa don ƙirƙirar hukumar ci gaba tawa ita ce damar yin aiki tare da tsarin bas ɗin waje na EBC (mai kula da bas na waje), wanda shine ainihin tashar tashar jiragen ruwa. K1986BE1QI microcontroller (jirgin sama) yana ba ku damar haɗawa da aiki tare da kwakwalwan ƙwaƙwalwar ajiya na waje da na'urorin gefe, misali, ADCs, FPGAs, da sauransu. Ƙarfin bas ɗin tsarin waje yana da girma sosai - zaku iya aiki tare da 8-bit, 16-bit da 32-bit static RAM, ROM da NAND Flash. Don karantawa/ rubuta bayanan 32-bit, mai sarrafawa zai iya yin ayyuka guda 2 masu dacewa ta atomatik don kwakwalwan kwamfuta 16-bit, da kuma ayyuka 8 don kwakwalwan kwamfuta 4-bit. Babu shakka, aikin I/O 32-bit za a kammala shi cikin sauri tare da bas ɗin bayanai 32-bit. Rashin lahani ya haɗa da buƙatar shirin ya yi aiki tare da bayanan 32-bit, kuma hukumar za ta shimfiɗa waƙoƙi 32.

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
A tsaye kwakwalwan kwamfuta na RAM, da aka yi amfani da su (kimanin wanene mara lahani)

Daidaitaccen bayani shine a yi amfani da kwakwalwan ƙwaƙwalwar ajiya 16-bit. Na faru da Integrated Silicon Solutions Inc. kwakwalwan kwamfuta a hannun jari. (ISSI IS61LV25616AL, 16 x 256k, 10 ns, 3.3V). Tabbas, kamfanin Milander yana da nasa kwakwalwan ƙwaƙwalwar ajiya Farashin 1645RU, amma suna da tsada da yawa kuma babu su. A madadin, akwai fil-jituwa Samsung K6R4016V1D. Tun da farko na ambata cewa microcircuits sun juya don amfani kuma kwafin da na shigar da farko ya ba da gazawa da ƙimar rudani a cikin layin bayanai na 15. Ya ɗauki kwanaki da yawa don nemo kurakuran hardware, kuma mafi girman jin daɗin gamsuwa lokacin da na maye gurbin guntu mai lalacewa tare da mai aiki. Ko ta yaya, saurin aiki tare da ƙwaƙwalwar waje yana barin abin da ake so.

Motar bas na waje da Yanayin TsayaK1986BE1QI microcontroller (jirgin sama) yana da yanayin StandAlone na musamman, wanda aka tsara don samun damar waje kai tsaye zuwa Ethernet da masu sarrafa MKIO (MIL_STD_1553) ta hanyar bas na waje, tare da ainihin a cikin sake saiti, watau. ba a amfani. Wannan yanayin ya dace da masu sarrafawa da FPGAs waɗanda ba su da Ethernet da/ko MKIO.
Tsarin haɗin kai shine kamar haka:

  • MCU (D0-D15) => SRAM(I/O0-I/O15),
  • Adireshin bas MCU (A1-A18) => SRAM(A0-A17),
  • sarrafa MCU (nWR, nRD, PortC2) => SRAM (WE,OE,CE),
  • Ana haɗa SRAM (UB,LB) ko ja zuwa ƙasa ta hanyar resistor.

Layin CE yana haɗe da wutar lantarki ta hanyar resistor; ba a amfani da fil don yin samfurin MCU byte (BE0-BE3). Ƙarƙashin ɓarna, Ina ba da lambar don fara tashar jiragen ruwa da mai kula da bas na waje.

Fara tashar jiragen ruwa da mai sarrafa EBC (mai kula da bas na waje)

void SRAM_Init (void)
{
	EBC_InitTypeDef          EBC_InitStruct = { 0 };
	EBC_MemRegionInitTypeDef EBC_MemRegionInitStruct = { 0 };
	PORT_InitTypeDef         initStruct = { 0 };

	RST_CLK_PCLKcmd (RST_CLK_PCLK_EBC, ENABLE);

	PORT_StructInit (&initStruct);
	//--------------------------------------------//
	// DATA PA0..PA15 (D0..D15)                   //
	//--------------------------------------------//
	initStruct.PORT_MODE      = PORT_MODE_DIGITAL;
	initStruct.PORT_PD_SHM    = PORT_PD_SHM_ON;
	initStruct.PORT_SPEED     = PORT_SPEED_FAST;
	initStruct.PORT_FUNC      = PORT_FUNC_MAIN;
	initStruct.PORT_Pin       = PORT_Pin_All;
	PORT_Init (MDR_PORTA, &initStruct);	
	//--------------------------------------------//
	// Address PF3-PF15 (A0..A12), A0 - not used. //
	//--------------------------------------------//
	initStruct.PORT_FUNC      = PORT_FUNC_ALTER;
	initStruct.PORT_Pin       = PORT_Pin_4  | PORT_Pin_5  |
	                            PORT_Pin_6  | PORT_Pin_7  |
	                            PORT_Pin_8  | PORT_Pin_9  |
								PORT_Pin_10 | PORT_Pin_11 |
	                            PORT_Pin_12 | PORT_Pin_13 |
								PORT_Pin_14 | PORT_Pin_15;
	PORT_Init (MDR_PORTF, &initStruct);	
	//--------------------------------------------//
	// Address PD3..PD0 (A13..A16)                //
	//--------------------------------------------//
	initStruct.PORT_FUNC      = PORT_FUNC_OVERRID;
	initStruct.PORT_Pin       = PORT_Pin_0 | PORT_Pin_1 |
	                            PORT_Pin_2 | PORT_Pin_3;
	PORT_Init (MDR_PORTD, &initStruct);	
	//--------------------------------------------//
	// Address PE3, PE4 (A17, A18)                //
	//--------------------------------------------//
	initStruct.PORT_FUNC      = PORT_FUNC_ALTER;
	initStruct.PORT_Pin       = PORT_Pin_3 | PORT_Pin_4;
	PORT_Init (MDR_PORTE, &initStruct);	
	//--------------------------------------------//
	// Control PC0,PC1 (nWE,nOE)                  //
	//--------------------------------------------//
	initStruct.PORT_FUNC      = PORT_FUNC_MAIN;
	initStruct.PORT_Pin       = PORT_Pin_0 | PORT_Pin_1;
	PORT_Init (MDR_PORTC, &initStruct);	
	//--------------------------------------------//
	// Control PC2 (nCE)                          //
	//--------------------------------------------//
	initStruct.PORT_PD        = PORT_PD_DRIVER;
	initStruct.PORT_OE        = PORT_OE_OUT;
	initStruct.PORT_FUNC      = PORT_FUNC_PORT;
	initStruct.PORT_Pin       = MDB_SRAM_CE;
	PORT_Init (MDR_PORTC, &initStruct);	

	//--------------------------------------------//
	// Initialize EBC controler                   //
	//--------------------------------------------//
	EBC_DeInit();
	EBC_StructInit(&EBC_InitStruct);
	EBC_InitStruct.EBC_Mode             = EBC_MODE_RAM;
	EBC_InitStruct.EBC_WaitState        = EBC_WAIT_STATE_3HCLK;
	EBC_InitStruct.EBC_DataAlignment    = EBC_EBC_DATA_ALIGNMENT_16;
	EBC_Init(&EBC_InitStruct);
	
	EBC_MemRegionStructInit(&EBC_MemRegionInitStruct);
	EBC_MemRegionInitStruct.WS_Active   = 2;
	EBC_MemRegionInitStruct.WS_Setup    = EBC_WS_SETUP_CYCLE_1HCLK;
	EBC_MemRegionInitStruct.WS_Hold     = EBC_WS_HOLD_CYCLE_1HCLK;
	EBC_MemRegionInitStruct.Enable_Tune = ENABLE;
	EBC_MemRegionInit (&EBC_MemRegionInitStruct, EBC_MEM_REGION_60000000);
	EBC_MemRegionCMD(EBC_MEM_REGION_60000000, ENABLE);

	// Turn ON RAM (nCE)
	PORT_ResetBits (MDR_PORTC, MDB_SRAM_CE);
}

Mai kula da microcontroller a cikin kunshin LQFP-144 da ƙwaƙwalwar ajiya a cikin kunshin TSOP-44 suna da filaye masu alaƙa da yawa kuma suna ɗaukar sarari da yawa akan allon da'ira da aka buga. Kasancewa da gogewa wajen magance matsalolin ingantawa a fagen tattalin arziki, a bayyane yake a gare ni cewa ya zama dole a sanya waɗannan microcircuits a kan jirgin farko. A wurare daban-daban na ci karo da sharhin yabo game da su CAD TopoR (Topological Router). Na zazzage sigar gwaji kuma na sami damar fitar da aikina daga Eagle CAD a can bayan na cire kusan duk abubuwan da aka gyara. Abin takaici, shirin TopoR bai taimake ni sanya ko da abubuwa 10 a kan allo ba. Da farko, an sanya dukkan abubuwan da aka gyara a cikin kusurwa, sannan an shirya su tare da gefen. Ban gamsu da wannan zaɓin ba, kuma na daɗe ina bin diddigin hukumar da hannu a cikin sanannun yanayin Eagle CAD.

Wani muhimmin abu na allon da'ira da aka buga shine bugu na siliki. Dole ne hukumar haɓakawa ba kawai tana da takalmi na kayan lantarki ba, amma duk masu haɗawa dole ne a yi wa lakabin. A bayan allon na sanya teburi tare da ayyukan tashoshin masu sarrafawa (babban, madadin, overridden, ainihin). Na ba da umarnin a samar da allunan da’ira da aka buga a China daga sanannen ofishin PCBWay. Ba zan yabe shi ba saboda ingancin yana da kyau. Za su iya yin mafi kyau, tare da ƙarin juriya, amma don kuɗi.

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
MDB1986 da aka kera allon kewayawa, (c) Hoton marubucin

Dole ne in sayar da abubuwan da aka gyara "a kan gwiwoyi" tare da 40-watt soldering iron da POS-61 solder, saboda da wuya na sayar da shi sau 1-2 a shekara, kuma manna na sayar da ya bushe. Hakanan dole ne in canza mai sarrafa CS32F103 na kasar Sin zuwa ainihin STM32F103, sannan kuma in maye gurbin ƙwaƙwalwar ajiya. Gabaɗaya, yanzu na gamsu da sakamakon, kodayake ban bincika aikin RS-232 da CAN ba tukuna.

Haɓaka hukumar haɓaka don K1986BE1QI (jirgin sama)
MDB1986 allon cirewa yana aiki - yana haskakawa kuma yana dumama, (c) Hoton marubucin

A kan gidan yanar gizon Milandra za ku iya samun isa kayan ilimi don masu kula da koyo jerin 1986BE9 (Cortex-M3 core), amma ga K1986BE1QI (jirgin sama) microcontroller ban ga wani abu a can. Bayan duba kayan, litattafai da aikin dakin gwaje-gwaje na jami'o'i da aka buga a can, na yi farin ciki cewa ana horar da ma'aikata a duk fadin kasar don yin aiki tare da masu kula da Rasha. Yawancin kayan horo suna shirya don aiki tare da tashoshin I/O, masu ƙidayar lokaci, ADC, DAC, SPI, UART. Ana amfani da yanayin ci gaban IDE daban-daban (Keil, IAR, CodeMaster). Wani wuri suna tsarawa ta amfani da rajistar CMSIS, kuma wani wuri suna amfani da Laburaren MDR. Dole ne a ambaci albarkatu Fara Milandr, wanda ya ƙunshi labarai da yawa daga masu tsara shirye-shirye. Kuma, ba shakka, kada mu manta game da Milandra forum.

Tunani game da MilandraMicroelectronics yana tasowa a Rasha, kuma kamfanin Milander yana taka muhimmiyar rawa a cikin wannan tsari. Sabbin microcontrollers masu ban sha'awa suna bayyana, alal misali, 1986BE81T da Elektrosila tare da hanyoyin sadarwa na SpaceWire da MKIO (daidai da a cikin 1986BE1 kuma, mai yiwuwa, tare da matsaloli iri ɗaya), da sauransu. Amma ɗaliban talakawa, malamai da injiniyoyin farar hula ba za su iya siyan irin waɗannan microcircuits ba. Wannan yana nufin cewa jama'ar injiniya ba za su iya saurin gano kurakurai da matsaloli tare da wannan guntu ba. Da alama a gare ni cewa da farko ya zama dole don samar da microcircuits a cikin akwati filastik, rarraba su ga duk masu sha'awar, kuma bayan amincewa (Latin approbatio - yarda, fitarwa) ta kwararru, za su iya shirya bita a cikin akwati na karfe- yumbu tare da kariya daga duk munanan abubuwa. Ina fata nan gaba kadan duk za mu gamsu da sabbin ayyukan da aka sanar a nune-nunen.
Za a iya maimaita allon gyara kuskuren da na haɓaka, kowa zai iya yin amfani da shi a cikin tsarin ilimi. Da farko dai, na yi wa kaina allo, amma abin ya kasance da kyau Na yanke shawarar raba wa kowa.

K1986BE1QI (iska) mai kulawa ne mai ban sha'awa tare da musaya na musamman waɗanda za a iya amfani da su a cikin jami'o'i don koyar da ɗalibai. Ina tsammanin cewa bayan gyara kurakuran da aka gano a cikin mai sarrafawa da wucewar gwaje-gwajen takaddun shaida, mai sarrafawa zai tashi a cikin ma'anar kalmar!

source: www.habr.com

Add a comment