рдЪрд░реНрдЪрд╛: рдУрдкрдирд░реЛрдб рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХрд╛ рдЙрджреНрджреЗрд╢реНрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЬрд╝рд╛рдЗрди рдХреЗ рд╕реНрд╡рдЪрд╛рд▓рди рдХреА рд╕рдорд╕реНрдпрд╛ рдХреЛ рд╣рд▓ рдХрд░рдирд╛ рд╣реИ

рдЪрд░реНрдЪрд╛: рдУрдкрдирд░реЛрдб рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХрд╛ рдЙрджреНрджреЗрд╢реНрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЬрд╝рд╛рдЗрди рдХреЗ рд╕реНрд╡рдЪрд╛рд▓рди рдХреА рд╕рдорд╕реНрдпрд╛ рдХреЛ рд╣рд▓ рдХрд░рдирд╛ рд╣реИ
- Pexels - рд╕реАрд╕реА рдмрд╛рдп

рдкрд░ рдХреЗ рдЕрдиреБрд╕рд╛рд░ рдкреАрдбрдмреНрд▓реНрдпреВрд╕реА, рд╕реЗрдореАрдХрдВрдбрдХреНрдЯрд░ рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХреА рдмрд╛рдЬрд╛рд░ рдмрдврд╝ рд░рд╣рд╛ рд╣реИ - рдкрд┐рдЫрд▓реЗ рд╕рд╛рд▓ рдпрд╣ 481 рдЕрд░рдм рдбреЙрд▓рд░ рддрдХ рдкрд╣реБрдВрдЪ рдЧрдпрд╛ред рд▓реЗрдХрд┐рди рд╣рд╛рд▓ рд╣реА рдореЗрдВ рдЗрд╕рдХреА рд╡рд┐рдХрд╛рд╕ рджрд░ рдХреА рдХрдореА рд╣реБрдИ. рдЧрд┐рд░рд╛рд╡рдЯ рдХреЗ рдХрд╛рд░рдгреЛрдВ рдореЗрдВ рднреНрд░рдорд┐рдд рдХрд░рдиреЗ рд╡рд╛рд▓реА рдбрд┐рд╡рд╛рдЗрд╕ рдбрд┐рдЬрд╝рд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛рдПрдБ рдФрд░ рд╕реНрд╡рдЪрд╛рд▓рди рдХреА рдХрдореА рд╢рд╛рдорд┐рд▓ рд╣реИрдВред

рдХреБрдЫ рд╕рд╛рд▓ рдкрд╣рд▓реЗ, рдЗрдВрдЯреЗрд▓ рдХреЗ рдЗрдВрдЬреАрдирд┐рдпрд░ ╨┐╨╕╤Б╨░╨╗╨╕рдЙрдЪреНрдЪ-рдкреНрд░рджрд░реНрд╢рди рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ рдмрдирд╛рддреЗ рд╕рдордп рдЖрдкрдХреЛ 100-150 рдЕрд▓рдЧ-рдЕрд▓рдЧ рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рдЯреВрд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рд╣реЛрдЧрд╛ (EDA). рд╕реНрдерд┐рддрд┐ рд╡рд┐рд╖рдо рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ рдЦрд░рд╛рдм рд╣реЛ рд╕рдХрддреА рд╣реИ, рдЬрд┐рдирдХреА рд╡рд╛рд╕реНрддреБрдХрд▓рд╛ рдореЗрдВ рдХрдИ рдЕрд▓рдЧ-рдЕрд▓рдЧ рдкреНрд░рдХрд╛рд░ рдХреЗ рдЪрд┐рдкреНрд╕ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ - рдПрдПрд╕рдЖрдИрд╕реА, рдПрдлрдкреАрдЬреАрдП, рд╕реАрдкреАрдпреВ рдпрд╛ рдЬреАрдкреАрдпреВред рдкрд░рд┐рдгрд╛рдорд╕реНрд╡рд░реВрдк, рдбрд┐рдЬрд╝рд╛рдЗрди рд╕рдВрдмрдВрдзреА рддреНрд░реБрдЯрд┐рдпрд╛рдБ рдЙрддреНрдкрдиреНрди рд╣реЛрддреА рд╣реИрдВ рдЬрд┐рд╕рд╕реЗ рдЙрддреНрдкрд╛рджреЛрдВ рдХреА рд░рд┐рд▓реАрдЬрд╝ рдореЗрдВ рджреЗрд░реА рд╣реЛрддреА рд╣реИред

рдмрдбрд╝реА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рд╕рд╣рд╛рдпрдХ рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рдмрд╛рд╡рдЬреВрдж, рдЗрдВрдЬреАрдирд┐рдпрд░реЛрдВ рдХреЛ рдЕрднреА рднреА рдХреБрдЫ рдХрд╛рдо рдореИрдиреНрдпреБрдЕрд▓ рд░реВрдк рд╕реЗ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдордЬрдмреВрд░ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдкреБрд╕реНрддрдХ рдХреЗ рд▓реЗрдЦрдХ "рдЙрдиреНрдирдд рддрд░реНрдХ рд╕рдВрд╢реНрд▓реЗрд╖рдг"рд╡реЗ рдХрд╣рддреЗ рд╣реИрдВ рдХрд┐ рдХрднреА-рдХрднреА рдбрд┐рдЬрд╛рдЗрдирд░ рдХрд░рдирд╛ рд╣реИ рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рд╕реНрдХрд┐рд▓ рдпрд╛ рдкрд╛рдпрдерди рдореЗрдВ рджреЛ рдорд┐рд▓рд┐рдпрди рд▓рд╛рдЗрдиреЛрдВ рдХреА рд╕реНрдХреНрд░рд┐рдкреНрдЯ рд▓рд┐рдЦреЗрдВ рдХреЛрд╢рд┐рдХрд╛рдУрдВ.

рдИрдбреАрдП рд╕рд┐рд╕реНрдЯрдо рджреНрд╡рд╛рд░рд╛ рдЙрддреНрдкрдиреНрди рд░рд┐рдкреЛрд░реНрдЯ рдХреЛ рдкрд╛рд░реНрд╕ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕реНрдХреНрд░рд┐рдкреНрдЯ рднреА рд▓рд┐рдЦреА рдЬрд╛рддреА рд╣реИрдВред 22nm рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХреА рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдПрдХ рдЪрд┐рдк рд╡рд┐рдХрд╕рд┐рдд рдХрд░рддреЗ рд╕рдордп, рдЗрди рд░рд┐рдкреЛрд░реНрдЯреЛрдВ рдореЗрдВ 30 рдЯреЗрд░рд╛рдмрд╛рдЗрдЯреНрд╕ рддрдХ рдХрд╛ рд╕рдордп рд▓рдЧ рд╕рдХрддрд╛ рд╣реИред

DARPA рдиреЗ рд╕реНрдерд┐рддрд┐ рдХреЛ рд╕реБрдзрд╛рд░рдиреЗ рдФрд░ рдбрд┐рдЬрд╝рд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рдорд╛рдирдХреАрдХреГрдд рдХрд░рдиреЗ рдХрд╛ рдкреНрд░рдпрд╛рд╕ рдХрд░рдиреЗ рдХрд╛ рдирд┐рд░реНрдгрдп рд▓рд┐рдпрд╛ред рдПрдЬреЗрдВрд╕реА рдкрд░ рднреА рд╡рд┐рдЪрд╛рд░ рдХрд░рдирд╛рдЪрд┐рдкреНрд╕ рдмрдирд╛рдиреЗ рдХреА рдореМрдЬреВрджрд╛ рд╡рд┐рдзрд┐рдпрд╛рдБ рдкреБрд░рд╛рдиреА рд╣реЛ рдЪреБрдХреА рд╣реИрдВред рд╕рдВрдЧрдарди рдХрд╛ рд╢реБрднрд╛рд░рдВрдн рдХрд┐рдпрд╛ рдкрд╛рдВрдЪ рд╕рд╛рд▓ рдХрд╛ рдХрд╛рд░реНрдпрдХреНрд░рдо рдЦреБрд▓рд╛ рд░рд╛рд╕реНрддрд╛, рдЬрд┐рд╕рдХрд╛ рдЙрджреНрджреЗрд╢реНрдп рдЪрд┐рдк рдбрд┐рдЬрд╝рд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдирдП рдЙрдкрдХрд░рдг рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдирд╛ рд╣реИред

рдХреИрд╕рд╛ рдХрд╛рд░реНрдпрдХреНрд░рдо

рдХрд╛рд░реНрдпрдХреНрд░рдо рдореЗрдВ рдХрдИ рдкрд░рд┐рдпреЛрдЬрдирд╛рдПрдВ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ рдЬреЛ рдЪрд┐рдк рдирд┐рд░реНрдорд╛рдг рдХреЗ рд╡реНрдпрдХреНрддрд┐рдЧрдд рдЪрд░рдгреЛрдВ рдХреЛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рдФрд░ рдХреНрд▓рд╛рдЙрдб рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХрд┐рдпреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреА рд╣реИрдВред рдкрд╣рд▓ рдХреЗ рдПрдХ рднрд╛рдЧ рдХреЗ рд░реВрдк рдореЗрдВ рд╡рд┐рдХрд╕рд┐рдд рд╣реЛ рд░рд╣реЗ рд╣реИрдВ (рдЪрд┐рддреНрд░ 1) рджрд╕ рд╕реЗ рдЕрдзрд┐рдХ рдЙрдкрдХрд░рдгред рдЖрдЧреЗ рд╣рдо рдЙрдирдореЗрдВ рд╕реЗ рдХреБрдЫ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЕрдзрд┐рдХ рд╡рд┐рд╕реНрддрд╛рд░ рд╕реЗ рдмрд╛рдд рдХрд░реЗрдВрдЧреЗ: рдлреНрд▓реЛ рд░рдирд░, рд░рд┐рдкреНрд▓реЗрд╕, рдЯреНрд░рд╛рдЗрдЯрдирд╕реАрдЯреАрдПрд╕, рдУрдкрдирдПрд╕рдЯреАрдПред

рдкреНрд░рд╡рд╛рд╣ рдзрд╛рд╡рдХ RTL рдФрд░ GDSII рдкреБрд╕реНрддрдХрд╛рд▓рдпреЛрдВ рдХреЗ рдкреНрд░рдмрдВрдзрди рдХреЗ рд▓рд┐рдП рдПрдХ рдЙрдкрдХрд░рдг рд╣реИред рдЙрддреНрддрд░рд╛рд░реНрджреНрдз рдбреЗрдЯрд╛рдмреЗрд╕ рдлрд╝рд╛рдЗрд▓реЗрдВ рд╣реИрдВ рдЬреЛ рдПрдХреАрдХреГрдд рд╕рд░реНрдХрд┐рдЯ рдФрд░ рдЙрдирдХреА рдЯреЛрдкреЛрд▓реЙрдЬреА рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЬрд╛рдирдХрд╛рд░реА рдХреЗ рдЖрджрд╛рди-рдкреНрд░рджрд╛рди рдХреЗ рд▓рд┐рдП рдПрдХ рдЙрджреНрдпреЛрдЧ рдорд╛рдирдХ рд╣реИрдВред рд╕рдорд╛рдзрд╛рди рдбреЙрдХрд░ рдХрдВрдЯреЗрдирд░ рддрдХрдиреАрдХ рдкрд░ рдЖрдзрд╛рд░рд┐рдд рд╣реИред рдЖрдк рдлрд╝реНрд▓реЛ рд░рдирд░ рдХреЛ рдХреНрд▓рд╛рдЙрдб рдФрд░ рд╕реНрдерд╛рдиреАрдп рджреЛрдиреЛрдВ рддрд░рд╣ рд╕реЗ рдЪрд▓рд╛ рд╕рдХрддреЗ рд╣реИрдВред рдЗрдВрд╕реНрдЯреЙрд▓реЗрд╢рди рдЧрд╛рдЗрдб рдЖрдзрд┐рдХрд╛рд░рд┐рдХ рд░рд┐рдкреЙрдЬрд┐рдЯрд░реА рдореЗрдВ рд╣реИ рдЧреАрдерд╣рдм рдкрд░.

рдкреНрд░рддрд┐рд╕реНрдерд╛рдкрд┐рдд рдХрд░реЗрдВ рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рдкрд░ рдЖрдзрд╛рд░рд┐рдд рдПрдХ рдХреНрд▓рд╛рдЙрдб рд╕рдорд╛рдзрд╛рди рд╣реИ, рдЬреЛ рдЪрд┐рдк рдкрд░ рдШрдЯрдХреЛрдВ рдХреЛ рд░рдЦрдиреЗ рдФрд░ рд░реВрдЯрд┐рдВрдЧ рдХреЛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЬрд┐рдореНрдореЗрджрд╛рд░ рд╣реИред рджреНрд╡рд╛рд░рд╛ рдХреБрдЫ рдЖрдВрдХрдбрд╝реЗ, рдмреБрджреНрдзрд┐рдорд╛рди рдПрд▓реНрдЧреЛрд░рд┐рджрдо рд╢рд╛рд╕реНрддреНрд░реАрдп рдкреНрд░рдгрд╛рд▓рд┐рдпреЛрдВ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЙрдкрдХрд░рдг рдХреА рджрдХреНрд╖рддрд╛ рдХреЛ 2-10% рддрдХ рдмрдврд╝рд╛ рджреЗрддреЗ рд╣реИрдВред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдХреНрд▓рд╛рдЙрдб рдореЗрдВ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рд╕реНрдХреЗрд▓рд┐рдВрдЧ рдХреЛ рдЖрд╕рд╛рди рдмрдирд╛рддрд╛ рд╣реИред рдЗрдВрд╕реНрдЯрд╛рд▓реЗрд╢рди рдФрд░ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдЧрд╛рдЗрдб рднреА рдЙрдкрд▓рдмреНрдз рд╣реИ рднрдВрдбрд╛рд░ рдореЗрдВ.

рдЯреНрд░рд╛рдЗрдЯрдирд╕реАрдЯреАрдПрд╕ - рдЪрд┐рдк рдХреЛ рдЖрдкреВрд░реНрддрд┐ рдХреА рдЧрдИ рдШрдбрд╝реА рджрд╛рд▓реЛрдВ рдХреЛ рдЕрдиреБрдХреВрд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдЙрдкрдпреЛрдЧрд┐рддрд╛ред рд╕рдорд╛рди рд╡рд┐рд▓рдВрдм рдХреЗ рд╕рд╛рде рдШрдбрд╝реА рдХреЗ рд╕рдВрдХреЗрддреЛрдВ рдХреЛ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рд╕рднреА рднрд╛рдЧреЛрдВ рддрдХ рд░реВрдЯ рдХрд░рдиреЗ рдореЗрдВ рдорджрдж рдХрд░рддрд╛ рд╣реИред рд╕рдВрдЪрд╛рд▓рди рд╕рд┐рджреНрдзрд╛рдВрдд рдкрд░ рдЖрдзрд╛рд░рд┐рдд рд╣реИ рдПрдЪ-рдкреЗрдбрд╝. рдпрд╣ рдкрд╣реБрдЪ рдЙрдард╛рддрд╛ рдкрд╛рд░рдВрдкрд░рд┐рдХ рддрд░реАрдХреЛрдВ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рд╕рд┐рдЧреНрдирд▓ рд╡рд┐рддрд░рдг рджрдХреНрд╖рддрд╛ 30% рддрдХред рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХрд╛ рдХрд╣рдирд╛ рд╣реИ рдХрд┐ рднрд╡рд┐рд╖реНрдп рдореЗрдВ рдпрд╣ рдЖрдВрдХрдбрд╝рд╛ 56% рддрдХ рдмрдврд╝рд╛рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдЯреНрд░рд╛рдЗрдЯрдирд╕реАрдЯреАрдПрд╕ рд╕реНрд░реЛрдд рдХреЛрдб рдФрд░ рд╕реНрдХреНрд░рд┐рдкреНрдЯ рдЙрдкрд▓рдмреНрдз рд╣реИрдВ рдЧреАрдерд╣рдм рдкрд░.

рдУрдкрдирдПрд╕рдЯреАрдП - рд╕реНрдереИрддрд┐рдХ рд╕рдордп рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдХреЗ рд▓рд┐рдП рдПрдХ рдЗрдВрдЬрдиред рдпрд╣ рдбрд┐рдЬрд╛рдЗрдирд░ рдХреЛ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рдЕрд╕реЗрдВрдмрд▓ рдХрд░рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ рдЪрд┐рдк рдХреА рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рдХреА рдЬрд╛рдВрдЪ рдХрд░рдиреЗ рдХрд╛ рдЕрд╡рд╕рд░ рджреЗрддрд╛ рд╣реИред OpenSTA рдореЗрдВ рдЙрджрд╛рд╣рд░рдг рдХреЛрдб рдЬреИрд╕рд╛ рджрд┐рдЦрддрд╛ рд╣реИ рдЗрд╕ рддрд░рд╣

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

рдЙрдкрдпреЛрдЧрд┐рддрд╛ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХреЛрдб, рд▓рд┐рдмрд░реНрдЯреА рдкреНрд░рд╛рд░реВрдк рд▓рд╛рдЗрдмреНрд░реЗрд░реАрдЬрд╝, рдПрд╕рдбреАрд╕реА рдлрд╝рд╛рдЗрд▓реЛрдВ рдЖрджрд┐ рдХреЗ рдиреЗрдЯрд▓рд┐рд╕реНрдЯ рд╡рд┐рд╡рд░рдг рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рддреА рд╣реИред

рдлрд╛рдпрджреЗ рдФрд░ рдиреБрдХрд╕рд╛рди

рдЖрдИрдмреАрдПрдо рдФрд░ рдЖрдИрдИрдИрдИ рдХреЗ рд╡рд┐рд╢реЗрд╖рдЬреНрдЮ рдорд╛рд░реНрдХрдЪрд┐рдк рдЙрддреНрдкрд╛рджрди рдореЗрдВ рдХреНрд▓рд╛рдЙрдб рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХрд┐рдпреЛрдВ рдФрд░ рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рдХрд╛ рдЙрдкрдпреЛрдЧ рд▓рдВрдмреЗ рд╕рдордп рд╕реЗ рдЕрдкреЗрдХреНрд╖рд┐рдд рд╣реИред рдЙрдирдХреА рд░рд╛рдп рдореЗрдВ, DARPA рдкрд░рд┐рдпреЛрдЬрдирд╛ рдЗрд╕ рд╡рд┐рдЪрд╛рд░ рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХрд╛ рдПрдХ рд╕рдлрд▓ рдЙрджрд╛рд╣рд░рдг рдмрди рд╕рдХрддреА рд╣реИ рд░рдЦреВрдВрдЧрд╛ рдЙрджреНрдпреЛрдЧ рдореЗрдВ рдмрджрд▓рд╛рд╡ рдХреА рд╢реБрд░реБрдЖрдд.

рдпрд╣ рднреА рдЙрдореНрдореАрдж рдХреА рдЬрд╛рддреА рд╣реИ рдХрд┐ OpenROAD рдХреА рдЦреБрд▓реА рдкреНрд░рдХреГрддрд┐ рдЯреВрд▓ рдХреЗ рдЗрд░реНрдж-рдЧрд┐рд░реНрдж рдПрдХ рд╢рдХреНрддрд┐рд╢рд╛рд▓реА рд╕рдореБрджрд╛рдп рдмрдирд╛рдПрдЧреА рдФрд░ рдирдП рд╕реНрдЯрд╛рд░реНрдЯрдЕрдк рдХреЛ рдЖрдХрд░реНрд╖рд┐рдд рдХрд░реЗрдЧреАред

рдЪрд░реНрдЪрд╛: рдУрдкрдирд░реЛрдб рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХрд╛ рдЙрджреНрджреЗрд╢реНрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЬрд╝рд╛рдЗрди рдХреЗ рд╕реНрд╡рдЪрд╛рд▓рди рдХреА рд╕рдорд╕реНрдпрд╛ рдХреЛ рд╣рд▓ рдХрд░рдирд╛ рд╣реИ
- Pexels - рд╕реАрд╕реА рдмрд╛рдп

рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдкреНрд░рддрд┐рднрд╛рдЧреА рд╣реИрдВ - рдорд┐рд╢рд┐рдЧрди рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдореЗрдВ рд╕реНрдерд┐рдд рдЪрд┐рдкреНрд╕ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдиреЗ рд╡рд╛рд▓реА рдПрдХ рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛, рдкрд╣рд▓рд╛ рд╣реЛрдЧрд╛, рдЬреЛ OpenROAD рдУрдкрди рд╕реЛрд░реНрд╕ рдЯреВрд▓ рдХрд╛ рдкрд░реАрдХреНрд╖рдг рдХрд░реЗрдЧрд╛ред рд▓реЗрдХрд┐рди рдпрд╣ рдЕрднреА рддрдХ рдЬреНрдЮрд╛рдд рдирд╣реАрдВ рд╣реИ рдХрд┐ рдирдП рд╕рдорд╛рдзрд╛рди рдЕрдВрддрд┐рдо рдЙрддреНрдкрд╛рджреЛрдВ рдХреА рд▓рд╛рдЧрдд рдкрд░ рдзреНрдпрд╛рди рджреЗрдиреЗ рдпреЛрдЧреНрдп рдкреНрд░рднрд╛рд╡ рдбрд╛рд▓ рдкрд╛рдПрдВрдЧреЗ рдпрд╛ рдирд╣реАрдВред

рдХреБрд▓ рдорд┐рд▓рд╛рдХрд░, DARPA рдХреЗ рдиреЗрддреГрддреНрд╡ рдореЗрдВ рд╡рд┐рдХрд╕рд┐рдд рдХрд┐рдП рдЬрд╛ рд░рд╣реЗ рдЙрдкрдХрд░рдгреЛрдВ рд╕реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдЙрджреНрдпреЛрдЧ рдкрд░ рд╕рдХрд╛рд░рд╛рддреНрдордХ рдкреНрд░рднрд╛рд╡ рдкрдбрд╝рдиреЗ рдХреА рдЙрдореНрдореАрдж рд╣реИ, рдФрд░ рдЗрд╕ рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рдФрд░ рдЕрдзрд┐рдХ рдирдИ рдкрд░рд┐рдпреЛрдЬрдирд╛рдПрдБ рд╕рд╛рдордиреЗ рдЖрдиреЗ рд▓рдЧреЗрдВрдЧреАред рдПрдХ рдЙрджрд╛рд╣рд░рдг рдПрдХ рдЙрдкрдХрд░рдг рд╣реЛрдЧрд╛ GEDA тАФ рдпрд╣ рдЖрдкрдХреЛ рдЕрд╕реАрдорд┐рдд рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рдШрдЯрдХреЛрдВ рдХреЗ рд╕рд╛рде рдЪрд┐рдкреНрд╕ рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред gEDA рдореЗрдВ рдорд╛рдЗрдХреНрд░реЛ-рд╕рд░реНрдХрд┐рдЯ рдФрд░ рдмреЛрд░реНрдб рд░реВрдЯрд┐рдВрдЧ рдХреЗ рд╕рдВрдкрд╛рджрди рдФрд░ рдореЙрдбрд▓рд┐рдВрдЧ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧрд┐рддрд╛рдПрдБ рд╢рд╛рдорд┐рд▓ рд╣реИрдВред рд╕рдорд╛рдзрд╛рди UNIX рдкреНрд▓реЗрдЯрдлрд╝реЙрд░реНрдо рдХреЗ рд▓рд┐рдП рд╡рд┐рдХрд╕рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рд▓реЗрдХрд┐рди рдЗрд╕рдХреЗ рдХрдИ рдШрдЯрдХ рд╡рд┐рдВрдбреЛрдЬрд╝ рдХреЗ рдЕрдВрддрд░реНрдЧрдд рднреА рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВред рдЙрдирдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдЧрд╛рдЗрдб рдорд┐рд▓ рд╕рдХрддрд╛ рд╣реИ рдкреНрд░реЛрдЬреЗрдХреНрдЯ рд╡реЗрдмрд╕рд╛рдЗрдЯ рдкрд░ рджрд╕реНрддрд╛рд╡реЗрдЬрд╝ рдореЗрдВ.

рдореБрдлрд╝реНрдд рдореЗрдВ рдЙрдкрд▓рдмреНрдз рдЙрдкрдХрд░рдг рд╕реНрд╡рддрдВрддреНрд░ рд╕рдВрдЧрдардиреЛрдВ рдФрд░ рд╕реНрдЯрд╛рд░реНрдЯрдЕрдк рдХреЛ рдЕрдзрд┐рдХ рд╡рд┐рдХрд▓реНрдк рджреЗрддреЗ рд╣реИрдВред рдпрд╣ рд╕рдВрднрд╡ рд╣реИ рдХрд┐ рд╕рдордп рдХреЗ рд╕рд╛рде, EDA рдЙрдкрдХрд░рдг рд╡рд┐рдХрд╛рд╕ рдФрд░ рдЪрд┐рдк рдбрд┐рдЬрд╝рд╛рдЗрди рдХреЗ рд▓рд┐рдП OpenROAD рдХреЗ рдирдП рджреГрд╖реНрдЯрд┐рдХреЛрдг рдПрдХ рдЙрджреНрдпреЛрдЧ рдорд╛рдирдХ рдмрди рд╕рдХрддреЗ рд╣реИрдВред

рд╣рдо рдЕрдкрдиреЗ рдХреЙрд░реНрдкреЛрд░реЗрдЯ рдмреНрд▓реЙрдЧ рдореЗрдВ рдХреНрдпрд╛ рд▓рд┐рдЦрддреЗ рд╣реИрдВ:

рд╕реНрд░реЛрдд: www.habr.com

рдПрдХ рдЯрд┐рдкреНрдкрдгреА рдЬреЛрдбрд╝реЗрдВ