Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում
Լուսանկարը հեղինակի հավաքածուից

1. Պատմություն

Bubble հիշողությունը կամ գլանաձեւ մագնիսական տիրույթի հիշողությունը ոչ անկայուն հիշողություն է, որը մշակվել է Bell Labs-ում 1967 թվականին Էնդրյու Բոբեքի կողմից։ Ուսումնասիրությունները ցույց են տվել, որ փոքր գլանաձև մագնիսական տիրույթները ձևավորվում են ֆերիտների և նռնաքարերի միաբյուրեղյա բարակ թաղանթներում, երբ բավականաչափ ուժեղ մագնիսական դաշտը ուղղահայաց է թաղանթի մակերեսին: Փոփոխելով մագնիսական դաշտը՝ այս փուչիկները կարող են տեղաշարժվել։ Նման հատկությունները մագնիսական փուչիկներին դարձնում են իդեալական բիթերի սերիական պահեստավորման կառուցման համար, ինչպիսին է հերթափոխի ռեգիստրը, որտեղ որոշակի դիրքում պղպջակի առկայությունը կամ բացակայությունը ցույց է տալիս զրոյական կամ մեկ բիթ արժեք: Պղպջակը տասներորդական միկրոն տրամագծով է, և մեկ չիպը կարող է պահել հազարավոր բիթ տվյալներ: Այսպես, օրինակ, 1977 թվականի գարնանը Texas Instruments-ն առաջին անգամ շուկա ներկայացրեց 92304 բիթ հզորությամբ չիպ։ Այս հիշողությունը անկայուն է, ինչը նմանեցնում է մագնիսական ժապավենին կամ սկավառակին, բայց քանի որ այն ամուր վիճակում է և չունի շարժական մասեր, այն ավելի հուսալի է, քան ժապավենը կամ սկավառակը, չի պահանջում սպասարկում և շատ ավելի փոքր է և թեթև: կարող է օգտագործվել շարժական սարքերում:

Սկզբում պղպջակների հիշողության գյուտարար Էնդրյու Բոբեկը առաջարկեց հիշողության «միաչափ» տարբերակ՝ թելի տեսքով, որի շուրջ փաթաթված է ֆերոմագնիսական նյութի բարակ շերտ։ Նման հիշողությունը կոչվում էր «twistor» հիշողություն, և նույնիսկ մասսայական արտադրության էր, բայց շուտով փոխարինվեց «երկչափ» տարբերակով:

Պղպջակների հիշողության ստեղծման պատմության մասին կարող եք կարդալ [1-3]-ում:

2. Գործողության սկզբունքը

Այստեղ խնդրում եմ ներել ինձ, ես ֆիզիկոս չեմ, ուստի ներկայացումը կլինի շատ մոտավոր։

Որոշ նյութեր (օրինակ՝ գադոլինիումի գալլիումի նռնաքար) ունեն մագնիսացման հատկություն միայն մեկ ուղղությամբ, և եթե այս առանցքի երկայնքով կիրառվի մշտական ​​մագնիսական դաշտ, մագնիսացված շրջանները կձևավորեն փուչիկների պես մի բան, ինչպես ցույց է տրված ստորև նկարում: Յուրաքանչյուր փուչիկի տրամագիծը ընդամենը մի քանի միկրոն է:

Ենթադրենք, որ մենք ունենք բարակ, 0,001 դյույմ կարգի, բյուրեղային թաղանթ նման նյութից, որը դրված է ոչ մագնիսական, օրինակ՝ ապակի, ենթաշերտի վրա:

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում
Ամեն ինչ կախարդական փուչիկների մասին է: Ձախ կողմի նկարը - չկա մագնիսական դաշտ, աջ կողմի նկարը - մագնիսական դաշտը ուղղահայաց է ֆիլմի մակերեսին:

Եթե ​​նման նյութի թաղանթի մակերևույթի վրա ձևավորվում է մագնիսական նյութից նախշ, օրինակ՝ պերմալոյից, երկաթ-նիկելային համաձուլվածքից, ապա փուչիկները մագնիսացված կլինեն այս օրինակի տարրերին: Որպես կանոն, օգտագործվում են նախշեր T-shaped կամ V-shaped տարրերի տեսքով:

Մեկ պղպջակ կարող է ձևավորվել 100-200 երստեդ մագնիսական դաշտից, որը կիրառվում է մագնիսական թաղանթին ուղղահայաց և ստեղծվում է մշտական ​​մագնիսով, և պտտվող մագնիսական դաշտը, որը ձևավորվում է երկու կծիկներով XY ուղղություններով, թույլ է տալիս շարժվել։ փուչիկների տիրույթները մի մագնիսական «կղզուց» մյուսը, ինչպես ցույց է տրված նկարում: Մագնիսական դաշտի ուղղության քառապատիկ փոփոխությունից հետո տիրույթը կտեղափոխվի մի կղզուց մյուսը:

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում

Այս ամենը թույլ է տալիս CMD սարքը դիտարկել որպես հերթափոխի ռեգիստր։ Եթե ​​ռեգիստրի մի ծայրում ձևավորենք փուչիկներ և հայտնաբերենք դրանք մյուսում, ապա մենք կարող ենք փչել փուչիկների որոշակի օրինաչափություն և օգտագործել համակարգը որպես հիշողության սարք՝ որոշակի ժամանակներում կարդալով և գրելով բիթերը:

Այստեղից հետևեք CMD հիշողության առավելություններն ու թերությունները. առավելությունը էներգետիկ անկախությունն է (քանի դեռ գործում է մշտական ​​մագնիսներով ստեղծված ուղղահայաց դաշտը, փուչիկները ոչ մի տեղ չեն անհետանա և չեն շարժվի իրենց դիրքերից), իսկ թերությունը՝ երկար մուտքի ժամանակ, քանի որ կամայական բիթ մուտք գործելու համար անհրաժեշտ է ամբողջ հերթափոխի ռեգիստրը ոլորել դեպի ցանկալի դիրքը, և որքան երկար լինի, այնքան ավելի շատ ցիկլեր կպահանջվեն:

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում
Մագնիսական տարրերի նախշը CMD մագնիսական ֆիլմի վրա:

Մագնիսական տիրույթի ստեղծումը անգլերենում կոչվում է «nucleation» և բաղկացած է նրանից, որ մի քանի հարյուր միլիամպեր հոսանք կիրառվում է ոլորուն մոտ 100 նս տևողությամբ, և ստեղծվում է մագնիսական դաշտ, որն ուղղահայաց է ֆիլմը և հակառակը մշտական ​​մագնիսական դաշտին: Սա ստեղծում է մագնիսական «պղպջակ»՝ գլանաձեւ մագնիսական տիրույթ ֆիլմում: Գործընթացը, ցավոք, մեծապես կախված է ջերմաստիճանից, հնարավոր է, որ գրելու գործողությունը ձախողվի առանց պղպջակի ձևավորման, կամ մի քանի փուչիկների առաջացում:

Ֆիլմից տվյալներ կարդալու համար օգտագործվում են մի քանի տեխնիկա:

Ճանապարհներից մեկը՝ ոչ կործանարար ընթերցումը, գլանային տիրույթի թույլ մագնիսական դաշտի հայտնաբերումն է՝ օգտագործելով մագնիսական դիմադրողական սենսոր:

Երկրորդ ճանապարհը կործանարար ընթերցանությունն է։ Պղպջակը տեղափոխվում է հատուկ գեներացման/հայտնաբերման ուղի, որտեղ պղպջակը ոչնչացվում է նյութի առաջ մագնիսացման արդյունքում: Եթե ​​նյութը հակադարձ մագնիսացված լիներ, այսինքն՝ առկա էր պղպջակ, դա ավելի շատ հոսանք կառաջացներ կծիկում, և դա կհայտնաբերվեր էլեկտրոնային սխեմայի միջոցով: Դրանից հետո փուչիկը պետք է նորից ստեղծվի ձայնագրման հատուկ ուղու վրա:
Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում

Այնուամենայնիվ, եթե հիշողությունը կազմակերպված է որպես մեկ հարակից զանգված, ապա այն կունենա երկու մեծ թերություն։ Նախ, մուտքի ժամանակը շատ երկար կլինի: Երկրորդ, շղթայի մեկ թերությունը կհանգեցնի ամբողջ սարքի ամբողջական անգործության: Հետևաբար, նրանք ստեղծում են հիշողություն, որը կազմակերպվում է մեկ հիմնական ուղու և բազմաթիվ ենթակա հետքերի տեսքով, ինչպես ցույց է տրված նկարում:

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում
Պղպջակների հիշողություն մեկ շարունակական ուղով

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում
Պղպջակների հիշողություն՝ վարպետ/ստրուկ հետքերով

Հիշողության նման կոնֆիգուրացիան թույլ է տալիս ոչ միայն զգալիորեն նվազեցնել մուտքի ժամանակը, այլև թույլ է տալիս արտադրել որոշակի քանակությամբ թերի հետքեր պարունակող հիշողության սարքեր: Հիշողության կարգավորիչը պետք է հաշվի առնի դրանք և շրջանցի դրանք կարդալու/գրելու գործողությունների ժամանակ:

Ստորև բերված նկարը ցույց է տալիս պղպջակների հիշողության «չիպի» խաչմերուկը:

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում

Դուք կարող եք կարդալ նաև պղպջակների հիշողության սկզբունքի մասին [4, 5]-ում:

3: Intel 7110

Intel 7110 - պղպջակների հիշողության մոդուլ, MBM (magnetic-bubble memory) 1 ՄԲ (1048576 բիթ) հզորությամբ: Հենց նա է պատկերված KDPV-ում։ 1 մեգաբիթը օգտատերերի տվյալների պահպանման հզորությունն է՝ հաշվի առնելով ավելորդ հետքերը, ընդհանուր հզորությունը 1310720 բիթ է։ Սարքը պարունակում է 320 ոլորված հետքեր (հանգույցներ) յուրաքանչյուրը 4096 բիթ հզորությամբ, բայց դրանցից միայն 256-ն է օգտագործվում օգտվողի տվյալների համար, մնացածը պահուստ է «կոտրված» հետքերը փոխարինելու և ավելորդ սխալի ուղղման կոդը պահելու համար: Սարքն ունի հիմնական ուղու-փոքր օղակի ճարտարապետություն: Ակտիվ հետքերի մասին տեղեկատվությունը պարունակվում է առանձին boot track-ում (bootstrap loop): KDPV-ում դուք կարող եք տեսնել վեցանկյուն ծածկագիրը, որը տպված է հենց մոդուլի վրա: Սա «կոտրված» հետքերի քարտեզն է, 80 տասնվեցական թվանշանները ներկայացնում են 320 տվյալների հետքեր, ակտիվները ներկայացված են մեկ բիթով, ոչ ակտիվները՝ զրոյով:

Մոդուլի բնօրինակ փաստաթղթերը կարող եք կարդալ [7]-ում:

Սարքն ունի պատյան՝ քորոցների երկշար դասավորությամբ և տեղադրվում է առանց զոդման (վարդակի մեջ)։

Մոդուլի կառուցվածքը ներկայացված է նկարում.

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում

Հիշողության զանգվածը բաժանված է երկու «կես բաժինների» (կես բաժիններ), որոնցից յուրաքանչյուրը բաժանված է երկու «քառորդների» (քառյակ), յուրաքանչյուր քառորդն ունի 80 ստրուկ հետքեր։ Մոդուլը պարունակում է մագնիսական նյութով ափսե, որը գտնվում է երկու ուղղանկյուն ոլորունների ներսում, որոնք ստեղծում են պտտվող մագնիսական դաշտ: Դա անելու համար ոլորունների վրա կիրառվում են եռանկյունաձև ձևի ընթացիկ ազդանշաններ, որոնք տեղաշարժված են միմյանց նկատմամբ 90 աստիճանով: Թիթեղի և ոլորունների հավաքումը տեղադրվում է մշտական ​​մագնիսների միջև և տեղադրվում է մագնիսական վահանի մեջ, որը փակում է մշտական ​​մագնիսների կողմից առաջացած մագնիսական հոսքը և սարքը պաշտպանում արտաքին մագնիսական դաշտերից: Թիթեղը տեղադրվում է 2,5 աստիճանի թեքության վրա, որը ստեղծում է լանջի երկայնքով փոքր տեղաշարժի դաշտ: Այս դաշտը աննշան է, համեմատած կծիկների դաշտի հետ, և չի խանգարում սարքի շահագործման ընթացքում փուչիկների շարժմանը, բայց սարքն անջատելիս փուչիկները տեղափոխում է ֆիքսված դիրքեր, որոնք հարաբերական են հավերժական խառնուրդի տարրերին: Մշտական ​​մագնիսների ուժեղ ուղղահայաց բաղադրիչը աջակցում է պղպջակների մագնիսական տիրույթների գոյությանը:

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում

Մոդուլը պարունակում է հետևյալ հանգույցները.

  1. Հիշողության հետքեր. Անմիջապես այն հավերժական խառնուրդի տարրերի հետքերը, որոնք պահում և ուղղորդում են փուչիկները:
  2. կրկնօրինակման գեներատոր: Ծառայում է պղպջակի կրկնօրինակման համար, որն անընդհատ առկա է գեներացման վայրում։
  3. Մուտքագրեք ուղու և փոխանակման հանգույցներ: Ստեղծված փուչիկները շարժվում են մուտքային ուղու երկայնքով: Փուչիկները տեղափոխվում են 80 ստրուկ հետքերից մեկը:
  4. Ելքային ուղու և վերարտադրման հանգույց: Փուչիկները հանվում են տվյալների հետքերից՝ առանց դրանք ոչնչացնելու: Պղպջակը բաժանվում է երկու մասի, և դրանցից մեկը գնում է ելքային ուղու:
  5. Դետեկտոր. Ելքային ուղուց փուչիկները մտնում են մագնիսական դիմադրողական դետեկտոր:
  6. Երկուղու բեռնում: Boot track-ը պարունակում է տեղեկատվություն ակտիվ և ոչ ակտիվ տվյալների հետքերի մասին:

Ստորև մենք ավելի մանրամասն կանդրադառնանք այս հանգույցներին: Դուք կարող եք նաև կարդալ այս հանգույցների նկարագրությունը [6]-ում:

փուչիկների սերունդ

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում

Պղպջակ առաջացնելու համար մուտքային ուղու հենց սկզբում կա մի հաղորդիչ, որը թեքված է փոքրիկ օղակի տեսքով: Դրա վրա կիրառվում է ընթացիկ իմպուլս, որը շատ փոքր տարածքում ստեղծում է մագնիսական դաշտ, որն ավելի ուժեղ է, քան մշտական ​​մագնիսների դաշտը։ Իմպուլսը այս պահին ստեղծում է պղպջակ, որը մշտապես պահպանվում է մշտական ​​մագնիսական դաշտով և պտտվող մագնիսական դաշտի ազդեցության տակ պտտվում է հավերժական խառնուրդի տարրի երկայնքով: Եթե ​​մեզ անհրաժեշտ է հիշողության մեջ միավոր գրել, ապա հաղորդիչ հանգույցին կիրառում ենք կարճ իմպուլս, և արդյունքում ծնվում են երկու պղպջակներ (նկարում նշված է որպես Bubble split seed): Պղպջակներից մեկը պտտվող դաշտով շտապում է հավերժական խառնուրդի ուղու երկայնքով, երկրորդը մնում է տեղում և արագ ստանում իր սկզբնական չափը: Այնուհետև այն տեղափոխվում է ստրուկների հետքերից մեկը և տեղերը փոխում դրա մեջ պտտվող պղպջակի հետ: Այն, իր հերթին, հասնում է մուտքային ուղու վերջում և անհետանում:

փուչիկների փոխանակում

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում

Պղպջակների փոխանակումը տեղի է ունենում, երբ ուղղանկյուն հոսանքի իմպուլսը կիրառվում է համապատասխան հաղորդիչի վրա: Այս դեպքում պղպջակը չի բաժանվում երկու մասի։

Տվյալների ընթերցում

Հիշողություն գլանաձեւ մագնիսական տիրույթների վրա: Մաս 1. Ինչպես է այն աշխատում

Տվյալները կրկնօրինակման միջոցով ուղարկվում են ելքային շղթա և ընթերցվելուց հետո շարունակում են շրջանառվել դրա ուղու մեջ: Այսպիսով, այս սարքն իրականացնում է ընթերցման ոչ կործանարար մեթոդ։ Կրկնօրինակելու համար պղպջակը ուղղորդվում է երկարաձգված հավերժական լյումինե տարրի տակ, որի տակ այն ձգվում է: Վերևում կա նաև հանգույցի տեսքով հաղորդիչ, եթե հանգույցի վրա հոսանքի իմպուլս կիրառվի, ապա պղպջակը կբաժանվի երկու մասի: Ընթացիկ զարկերակը բաղկացած է կարճ հատվածից՝ բարձր հոսանքով՝ պղպջակը երկու մասի բաժանելու համար, և ավելի երկար հատվածից՝ ավելի քիչ հոսանքով՝ փուչիկը դեպի ելքի ուղին ուղղելու համար:

Ելքային ուղու վերջում գտնվում է Bubble Detector-ը, որը մագնիսական դիմադրողական կամուրջ է, որը կազմված է հավերժական լյումինե տարրերից, որոնք երկար միացում են կազմում: Երբ մագնիսական պղպջակն ընկնում է հավերժական խառնուրդի տարրի տակ, նրա դիմադրությունը փոխվում է, և կամրջի ելքում հայտնվում է մի քանի միլիվոլտի պոտենցիալ տարբերություն: Հավերժական խառնուրդի տարրերի ձևն ընտրված է այնպես, որ պղպջակը շարժվի դրանց երկայնքով, վերջում այն ​​հարվածի հատուկ «պահապան» անվադողին և անհետանա:

Ավելորդություն

Սարքը պարունակում է 320 հետքեր, որոնցից յուրաքանչյուրը 4096 բիթով: Դրանցից 272-ը ակտիվ են, 48-ը՝ պահեստային, ոչ ակտիվ։

Boot track (Boot Loop)

Սարքը պարունակում է 320 տվյալների հետքեր, որոնցից 256-ը նախատեսված են օգտագործողի տվյալները պահելու համար, մնացածը կարող են անսարք լինել կամ ծառայել որպես պահեստամաս՝ անսարքները փոխարինելու համար։ Մեկ լրացուցիչ հետքը պարունակում է տեղեկատվություն տվյալների հետքերի օգտագործման մասին՝ 12 բիթ յուրաքանչյուր ուղու համար: Երբ համակարգը միացված է, այն պետք է սկզբնավորվի: Նախաստորագրման գործընթացում վերահսկիչը պետք է կարդա բեռնման ուղին և դրանից տեղեկատվություն գրի ձևաչափման չիպի / ընթացիկ սենսորի հատուկ ռեգիստրում: Այնուհետև կարգավորիչը կօգտագործի միայն ակտիվ հետքերը, իսկ ոչ ակտիվները կանտեսվեն և չեն գրվի:

Տվյալների պահեստ - Կառուցվածք

Օգտագործողի տեսանկյունից տվյալները պահվում են 2048 էջերում՝ յուրաքանչյուրը 512 բիթանոց։ Սարքի յուրաքանչյուր կեսում պահվում է 256 բայթ տվյալ, 14 բիթ սխալի ուղղման կոդը և 2 չօգտագործված բիթ:

Սխալի ուղղում

Սխալների հայտնաբերումը և ուղղումը կարող են իրականացվել ընթացիկ սենսորային չիպի միջոցով, որը պարունակում է 14-բիթանոց ծածկագրի ապակոդավորիչ, որը ուղղում է մինչև 5 բիթ երկարությամբ մեկ սխալ (պայթել սխալ) յուրաքանչյուր 270 բիթանոց բլոկում (ներառյալ հենց կոդը): Կոդը կցվում է յուրաքանչյուր 256-բիթանոց բլոկի վերջում: Ուղղման կոդը կարող է օգտագործվել կամ չօգտագործվել, օգտագործողի խնդրանքով կոդի ստուգումը կարող է միացնել կամ անջատվել կարգավորիչում: Եթե ​​կոդ չի օգտագործվում, բոլոր 270 բիթերը կարող են օգտագործվել օգտվողի տվյալների համար:

Մուտքի ժամանակը

Մագնիսական դաշտը պտտվում է 50 կՀց հաճախականությամբ։ Առաջին էջի առաջին բիթ մուտքի միջին ժամանակը 41 ms է, ինչը կեսն է այն ժամանակի, որն անհրաժեշտ է ուղու ամբողջ ցիկլը ավարտելու համար, գումարած ելքային ուղով անցնելու ժամանակը:

320 ակտիվ և պահեստային հետքերը բաժանված են չորս մասի` յուրաքանչյուրը 80-ական ուղու: Այս կազմակերպությունը նվազեցնում է մուտքի ժամանակը: Քառորդները հասցեագրված են զույգերով. յուրաքանչյուր քառորդ զույգ պարունակում է բառի համապատասխանաբար զույգ և կենտ բիթերը: Սարքը պարունակում է չորս մուտքային ուղիներ՝ չորս սկզբնական պղպջակներով և չորս ելքային հետքեր: Ելքային ուղիներն օգտագործում են երկու դետեկտոր, դրանք կազմակերպված են այնպես, որ երկու հետքերից երկու փուչիկները երբեք չեն հարվածում մեկ դետեկտորի միաժամանակ: Այսպիսով, չորս պղպջակների հոսքերը մուլտիպլեքսացվում են և վերածվում երկու բիթ հոսքերի և պահվում ընթացիկ սենսորային չիպի գրանցամատյաններում: Այնտեղ ռեգիստրների բովանդակությունը կրկին մուլտիպլեքսացվում է և սերիական ինտերֆեյսի միջոցով ուղարկվում է վերահսկիչին:

Հոդվածի երկրորդ մասում մենք ավելի մանրամասն կանդրադառնանք պղպջակների հիշողության կարգավորիչի սխեմաներին:

4. Հղումներ

Հեղինակը գտել է ցանցի ամենամութ անկյուններում և ձեզ համար պահպանել շատ օգտակար տեխնիկական տեղեկատվություն CMD-ի հիշողության, դրա պատմության և հարակից այլ ասպեկտների վերաբերյալ.

1. https://old.computerra.ru/vision/621983/ — Երկու հիշողություն ինժեներ Բոբեկի մասին
2. https://old.computerra.ru/vision/622225/ - Երկու հիշողություն ինժեներ Բոբեկի մասին (մաս 2)
3. http://www.wikiwand.com/en/Bubble_memory - Պղպջակների հիշողություն
4. https://cloud.mail.ru/public/3qNi/33LMQg8Fn Մագնիսական պղպջակների հիշողության հարմարեցում ստանդարտ միկրոհամակարգչային միջավայրում
5. https://cloud.mail.ru/public/4YgN/ujdGWtAXf - Texas Instruments TIB 0203 Bubble Memory
6. https://cloud.mail.ru/public/4PRV/5qC4vyjLa — Հիշողության բաղադրիչների ձեռնարկ: Intel 1983 թ.
7. https://cloud.mail.ru/public/4Mjv/41Xrp4Rii 7110 1-Մեգաբիթ Bubble հիշողություն

Source: www.habr.com

Добавить комментарий