Inisiatif FPGA Sumber Terbuka

Mengumumkan pembentukan organisasi nirlaba baru, Open-Source FPGA Foundation (OSFPGA), yang bertujuan untuk mengembangkan, mempromosikan, dan menciptakan lingkungan untuk pengembangan kolaboratif solusi perangkat keras dan perangkat lunak terbuka yang terkait dengan penggunaan rangkaian gerbang yang dapat diprogram di lapangan ( FPGA) sirkuit terpadu yang memungkinkan kerja logika yang dapat diprogram ulang setelah pembuatan chip. Operasi biner kunci (AND, NAND, OR, NOR dan XOR) dalam chip tersebut diimplementasikan menggunakan gerbang logika (saklar) yang memiliki banyak input dan satu output, konfigurasi koneksi di antaranya dapat diubah oleh perangkat lunak.

Anggota pendiri OSFPGA mencakup beberapa peneliti teknologi FPGA terkemuka dari perusahaan dan proyek seperti EPFL, QuickLogic, Zero ASIC, dan GSG Group. Di bawah naungan organisasi baru ini, seperangkat alat terbuka dan gratis akan dikembangkan untuk pembuatan prototipe cepat berdasarkan chip FPGA dan dukungan untuk otomatisasi desain elektronik (EDA). Organisasi ini juga akan mengawasi pengembangan bersama standar terbuka terkait FPGA, menyediakan forum netral bagi perusahaan untuk berbagi pengalaman dan teknologi.

OSFPGA diharapkan akan memungkinkan perusahaan chip menghilangkan beberapa proses rekayasa yang terlibat dalam produksi FPGA, menyediakan tumpukan perangkat lunak FPGA khusus yang siap pakai bagi pengembang pengguna akhir, dan memungkinkan kolaborasi untuk menciptakan arsitektur baru berkualitas tinggi. Perlu dicatat bahwa alat terbuka yang disediakan oleh OSFPGA akan dipertahankan pada tingkat kualitas tertinggi, memenuhi atau melampaui standar industri.

Tujuan utama dari Open-Source FPGA Foundation adalah:

  • Menyediakan sumber daya dan infrastruktur untuk mengembangkan seperangkat alat yang terkait dengan perangkat keras dan perangkat lunak FPGA.
  • Mempromosikan penggunaan alat tersebut melalui berbagai acara.
  • Memberikan dukungan, pengembangan, dan keterbukaan alat untuk penelitian arsitektur FPGA tingkat lanjut, serta pengembangan perangkat lunak dan perangkat keras terkait.
  • Memelihara katalog arsitektur FPGA yang tersedia untuk umum, teknologi desain, dan desain papan yang berasal dari publikasi dan pengungkapan paten yang sudah kadaluwarsa.
  • Mempersiapkan dan memberikan akses ke materi pelatihan untuk membantu membangun komunitas pengembang yang tertarik.
  • Sederhanakan kolaborasi dengan produsen chip untuk mengurangi biaya dan waktu untuk menguji dan memvalidasi arsitektur dan perangkat keras FPGA baru.

Alat sumber terbuka terkait:

  • OpenFPGA adalah kit Electronic Design Automation (EDA) untuk FPGA yang mendukung pembuatan perangkat keras berdasarkan deskripsi Verilog.
  • CLaaS pertama adalah kerangka kerja yang memungkinkan Anda menggunakan FPGA untuk membuat akselerator perangkat keras untuk aplikasi web dan cloud.
  • Verilog-to-Routing (VTR) adalah toolkit yang memungkinkan Anda membuat konfigurasi FPGA yang dipilih berdasarkan deskripsi dalam bahasa Verilog.
  • Symbiflow adalah perangkat untuk mengembangkan solusi berdasarkan Xilinx 7, Lattice iCE40, Lattice ECP5, dan QuickLogic EOS S3 FPGA.
  • Yosys adalah kerangka sintesis Verilog RTL untuk aplikasi umum.
  • EPFL adalah kumpulan perpustakaan untuk mengembangkan aplikasi sintesis logika.
  • LSOracle adalah tambahan pada perpustakaan EPFL untuk mengoptimalkan hasil sintesis logika.
  • Edalize adalah perangkat Python untuk berinteraksi dengan sistem otomatisasi desain elektronik (EDA) dan menghasilkan file proyek untuk sistem tersebut.
  • GHDL adalah kompiler, penganalisa, simulator, dan synthesizer untuk bahasa deskripsi perangkat keras VHDL.
  • VerilogCreator adalah plugin untuk QtCreator yang mengubah aplikasi ini menjadi lingkungan pengembangan di Verilog 2005.
  • FuseSoC adalah manajer paket untuk kode HDL (Hardware Description Language) dan utilitas abstraksi perakitan untuk FPGA/ASIC.
  • SOFA (Skywater Open-source FPGA) adalah sekumpulan IP FPGA terbuka (Kekayaan Intelektual) yang dibuat menggunakan Skywater PDK dan kerangka OpenFPGA.
  • openFPGALoader adalah utilitas untuk pemrograman FPGA.
  • LiteDRAM - IP Core khusus untuk FPGA dengan implementasi DRAM.

Selain itu, kita dapat mencatat proyek Main_MiSTer, yang memungkinkan penggunaan papan DE10-Nano FPGA yang terhubung ke TV atau monitor untuk mensimulasikan peralatan konsol game lama dan komputer klasik. Tidak seperti menjalankan emulator, penggunaan FPGA memungkinkan pembuatan ulang lingkungan perangkat keras asli tempat Anda dapat menjalankan image sistem dan aplikasi yang ada untuk platform perangkat keras lama.

Sumber: opennet.ru

Tambah komentar