Mkparịta ụka: Ọrụ OpenROAD na-ezube idozi nsogbu nke akpaaka nke nhazi nhazi

Mkparịta ụka: Ọrụ OpenROAD na-ezube idozi nsogbu nke akpaaka nke nhazi nhazi
Ото - Pexels - CC BY

Site nyere PWC, ahịa teknụzụ semiconductor na-eto eto - n'afọ gara aga ọ ruru ijeri $ 481. Mana mmụba ya na nso nso a belatara. Ihe kpatara ọdịda ahụ gụnyere usoro nhazi ngwaọrụ dị mgbagwoju anya na enweghị akpaaka.

Afọ ole na ole gara aga, ndị injinia sitere na Intel derena mgbe ị na-eke microprocessor na-arụ ọrụ dị elu, ị ga-eji ngwa ngwanrọ 100-150 dị iche iche (Eda). Enwere ike ịkawanye ọnọdụ ahụ n'ihe gbasara ngwaọrụ dị iche iche, ihe owuwu nke gụnyere ọtụtụ ụdị ibe - ASIC, FPGA, CPU ma ọ bụ GPU. N'ihi ya, njehie imewe na-eme na-egbu oge ntọhapụ nke ngwaahịa.

N'agbanyeghị ọnụ ọgụgụ dị ukwuu nke ngwá ọrụ inyeaka, a ka na-amanye ndị injinia iji aka ha rụọ ọrụ ụfọdụ. Ndị dere akwụkwọ ahụ "Njikọ mgbagha dị elu"ha na-ekwu na mgbe ụfọdụ ndị na-emepụta ihe nwere dee scripts na Skill ma ọ bụ Python nke ahịrị nde abụọ iji mepụta ọba akwụkwọ mkpụrụ ndụ.

A na-edekwa script iji tụba akụkọ sitere na sistemụ EDA mepụtara. Mgbe ị na-emepụta mgbawa site na iji teknụzụ usoro 22nm, akụkọ ndị a nwere ike iburu terabytes 30.

DARPA kpebiri imezi ọnọdụ ahụ ma gbalịa ịhazi usoro nhazi. N'ụlọ ọrụ ahụ kwa tuleena ụzọ ndị dị adị maka ịmepụta ibe bụ ihe ochie. Nhazi ulo oru mmemme afọ ise OpenROAD, nke bu n'obi imepụta ngwa ọhụrụ iji megharịa usoro nhazi mgbawa.

Kedu ụdị mmemme

Ihe omume a gụnyere ọtụtụ ọrụ na-eji mmụta igwe na teknụzụ igwe ojii na-arụ ọrụ n'otu n'otu nke imepụta mgbawa. Dị ka akụkụ nke ụzọ a na-emepe emepe (eserese 1) ihe karịrị ngwa iri. Ọzọ anyị ga-ekwu n'ụzọ zuru ezu banyere ụfọdụ n'ime ha: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Onye na-agba ọsọ bụ ngwá ọrụ maka ijikwa RTL na GDSII ọba akwụkwọ. Nke ikpeazụ bụ faịlụ nchekwa data bụ ọkọlọtọ ụlọ ọrụ maka ịgbanwe ozi gbasara sekit agbakwunyere na topologies ha. Ihe ngwọta dabere na teknụzụ akpa Docker. Ị nwere ike ịgba ọsọ Flow Runner ma n'igwe ojii ma na mpaghara. Ntuziaka nwụnye dị na ebe nchekwa gọọmentị na GitHub.

Tinyegharịa bụ ihe ngwọta igwe ojii dabere na mmụta igwe, bụ nke na-ahụ maka ịtinye akụrụngwa na mgbawa na akpaghị aka. Site na ụfọdụ data, algọridim ọgụgụ isi na-abawanye arụmọrụ nke ngwá ọrụ site na 2-10% ma e jiri ya tụnyere usoro oge ochie. Tụkwasị na nke ahụ, mmejuputa na ígwé ojii na-eme ka ọ dịkwuo mfe. Ntuzi nwụnye na nhazi dịkwa na ebe nchekwa.

TritonCTS - ngwa maka ịkachasị pulses elekere enyere na mgbawa. Na-enyere aka iji akara elekere gaa n'akụkụ niile nke ngwaọrụ ahụ yana otu igbu oge. Ụkpụrụ ọrụ dabere na H-osisi. Ụzọ a nkwalite arụmọrụ nkesa mgbaàmà bụ 30% ma e jiri ya tụnyere usoro ọdịnala. Ndị mmepe na-ekwu na n'ọdịnihu ọnụ ọgụgụ a nwere ike ịbawanye ruo 56%. Koodu isi mmalite TritonCTS na edemede dị na GitHub.

MepeeSTA - injin maka nyocha oge kwụ ọtọ. Ọ na-enye onye mmebe ohere ịlele ọrụ nke mgbawa tupu ejikọta ya n'ezie. Koodu ihe atụ na OpenSTA dị ka dị ka nke a.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Ngwa ahụ na-akwado nkọwa netlist nke koodu Verilog, ọba akwụkwọ ụdị nnwere onwe, faịlụ SDC, wdg.

Uru na ọghọm

Ndị ọkachamara sitere na IBM na IEEE usọrọna teknụzụ igwe ojii na mmụta igwe agafeela maka iji na mmepụta mgbawa. N'echiche ha, ọrụ DARPA nwere ike ịghọ ihe atụ na-aga nke ọma nke mmejuputa echiche a na ga-etinye mmalite nke mgbanwe na ụlọ ọrụ.

A na-atụkwa anya na ọdịdị mepere emepe nke OpenROAD ga-emepụta obodo dị ike na gburugburu ngwá ọrụ ma na-adọta mmalite ọhụrụ.

Mkparịta ụka: Ọrụ OpenROAD na-ezube idozi nsogbu nke akpaaka nke nhazi nhazi
Ото - Pexels - CC BY

Enweelarị ndị sonyere - ụlọ nyocha na-emepe emepe nke dabeere na Mahadum Michigan, ga-abụ onye mbụ, onye ga-anwale ngwaọrụ OpenROAD mepere emepe. Mana amabeghị ma ihe ngwọta ọhụrụ ga-enwe ike inwe mmetụta pụtara ìhè na ọnụ ahịa ngwaahịa ikpeazụ.

N'ozuzu, a na-atụ anya na ngwá ọrụ ndị a na-emepụta n'okpuru nduzi DARPA ga-enwe mmetụta dị mma na ụlọ ọrụ nhazi, na ọrụ ọhụrụ ga-amalite ịmalite na mpaghara a. Ihe atụ ga-abụ ngwá ọrụ GEDA - ọ na-enye gị ohere ịmepụta ibe nwere ọnụ ọgụgụ na-akparaghị ókè nke components. gEDA gụnyere akụrụngwa maka idezi na ịmegharị microcircuits na ụzọ bọọdụ. Emepụtara ihe ngwọta maka nyiwe UNIX, mana ọtụtụ ihe mejupụtara ya na-arụkwa ọrụ n'okpuru Windows. Enwere ike ịchọta ntuziaka maka ịrụ ọrụ na ha na akwụkwọ na webụsaịtị oru ngo.

Ngwá ọrụ dị n'efu na-enye ndị otu nọọrọ onwe ha na ndị mmalite nhọrọ karịa. Ọ ga-ekwe omume na ka oge na-aga, ụzọ ọhụrụ OpenROAD maka mmepe ngwá ọrụ EDA na imewe mgbawa nwere ike ịghọ ọkọlọtọ ụlọ ọrụ.

Ihe anyị na-ede na blọọgụ ụlọ ọrụ anyị:

isi: www.habr.com

Tinye a comment