Google ewepụtala ohere maka imepụta batches nnwale n'efu

Google, na mmekorita ya na ụlọ ọrụ na-emepụta SkyWater Technology na Efables, ewepụtala atụmatụ nke na-enye ndị na-emepụta ngwaike mepere emepe ka ha mepụta ibe ha na-emepụta n'efu. Ebumnuche a na-achọ ịkwalite mmepe nke ngwaike mepere emepe, belata ọnụ ahịa nke ịmepụta ọrụ mepere emepe ma mee ka mmekọrịta dị mfe na ụlọ ọrụ mmepụta ihe. Ekele maka ụzọ a, onye ọ bụla nwere ike ịmalite ịmepụta ibe omenala nke ha n'atụghị egwu ọnụ ahịa dị elu nke ịmepụta ụdị mbụ. Ihe niile nrụpụta, nkwakọ ngwaahịa na mbupu bụ Google kpuchie.

Enwere ike itinye akwụkwọ anamachọihe maka itinye na mmemme mmepụta n'efu otu ugboro kwa ọnwa abụọ. A ga-emechi oghere kacha nso na June 8, na ibe ndị jisiri ike banye na ya ga-adị njikere n'August 30 wee zigara ndị edemede na October 18. Site na ngwa ndị etinyere, a na-ahọrọ ọrụ 40 (ọ bụrụ na ngwa ndị etinyere na-erughị 40, mgbe ahụ, a ga-etinye ndị niile gafere nyocha ziri ezi na mmepụta). Dabere na nsonaazụ mmepụta, onye nrụpụta ga-enweta ibe 50 na bọọdụ 5 nwere ibe arụnyere.

A na-anabata ngwa naanị site na oru ngo ekesara n'ụzọ zuru ezu n'okpuru ikikere mepere emepe, anaghị akwado ya na nkwekọrịta enweghị nkwupụta (NDA) yana egbochighị oke ojiji nke ngwaahịa ha. A ga-edobe data maka mmepụta n'ụdị GDSII, gafere usoro ule enyere wee mepụtaghachi ya site na faịlụ nhazi isi mmalite (ya bụ, nyefee ọrụ mmeghe, mana ị gaghị enwe ike ịnyefe ihe eji arụ ọrụ maka mmepụta).

Iji mee ka mmepe mgbawa mepere emepe dị mfe, ngwaọrụ ndị a mepere emepe dị:

  • SkyWater PDK (Process Design Kit), ngwá ọrụ na-akọwa usoro teknụzụ 130nm (SKY130) eji na SkyWater osisi na-enye gị ohere ịkwadebe faịlụ nhazi dị mkpa maka mmepụta nke microcircuits.
  • OpenLane bụ nhazi akụrụngwa maka ntụgharị akpaghị aka nke imewe sekit RTL nke ibe ngwa-kpọmkwem (ASIC) n'ime usoro GDSII eji na ụlọ nrụpụta mgbawa.
    Google ewepụtala ohere maka imepụta batches nnwale n'efu
  • XLS (Accelerated HW Synthesis) bụ ngwa ọrụ maka ijikọ faịlụ imewe yana ngwa mgbawa dabara na nkọwa ọkwa dị elu enyere nke ọrụ achọrọ, emebere n'ụdị mmepe ngwanrọ.
  • Usoro iwu maka usoro mgbakọ Bazel na nkwado maka ngwaọrụ mepere emepe (Yosys, Verilator, OpenROAD) maka ịrụ ọrụ na asụsụ nkọwa ngwaike (Verilog, VHDL, Chisel, nMigen).
  • OpenROAD bụ usoro maka akpaaka usoro mmepe nke microcircuits mepere emepe.
  • Verible bụ ngwa ọrụ maka mmepe n'asụsụ Verilog, gụnyere nzacha, usoro nhazi ụdị na linter.

isi: opennet.ru

Tinye a comment