Udi: Блог

Ntọhapụ nke Nebula 1.9, usoro maka ịmepụta netwọk P2P machie

Ebipụtala ntọhapụ nke ọrụ Nebula 1.9, na-enye ngwaọrụ maka iwulite netwọọdụ mkpuchi nchekwa nke na-enye gị ohere ijikọ ndị ọbịa kewapụrụ iche na mpaghara n'ime netwọk dịpụrụ adịpụ na-arụ ọrụ n'elu netwọkụ ụwa. Emebere oru ngo a iji mepụta netwọkụ machie nke gị maka mkpa ọ bụla, dịka ọmụmaatụ, ijikọ kọmpụta ụlọ ọrụ na ụlọ ọrụ dị iche iche, sava na ebe data dị iche iche ma ọ bụ gburugburu mebere site na ndị na-eweta igwe ojii dị iche iche. […]

Rustls dakọtara na OpenSSL na nginx

ISRG (Internet Security Research Group), nke bụ onye guzobere ọrụ Ka anyị Encrypt ma na-akwalite mmepe teknụzụ iji meziwanye nchekwa nke ịntanetị, kwupụtara mbipụta nke rustls-openssl-compat oyi akwa, nke na-enye ohere iji. Ọbá akwụkwọ Rustls dị ka onye nnọchi anya maka OpenSSL. Ọrụ a na-enye ugbu a mmejuputa rustls-libssl nke na-enye ndakọrịta na libssl, na mmejuputa libcrypto na-emepe emepe. Ọrụ dị na oyi akwa [...]

Mwepụta nke Ondsel ES 2024.2

Ụdị ọhụrụ nke Ondsel Engineering Suite (ES), usoro 3D CAD dabere na FreeCAD, ka ewepụtara. Emebere ọgbakọ a dabere na ngalaba mmepe anaghị akwụsi ike ugbu a nke FreeCAD. Ụfọdụ n'ime mgbanwe ndị edepụtara n'okpuru ka ebufelarị na elu, ebe ndị ọzọ na-atụle. Kedu ihe dị ọhụrụ: Mgbakọ Workbench: ngwa nrụpụta ihe nrụpụta ọhụrụ (elele gbawara), ụdị njikọ nnwale ọhụrụ (ọkwa na pinion, skru, gears, belt), […]

Uhie okpu webatara nkesa RHEL AI na ọnọdụ nrụpụta RHEL dabere na OSTree na bootc

Red Hat webatara nkesa Red Hat Enterprise Linux AI (RHEL AI), bụ nke ahaziri maka ọrụ mmụta igwe ma mee ka ọ dị mfe imepụta ihe nkesa ihe nkesa na-eji ụdị mkparịta ụka buru ibu. Ọ gụnyere nhọrọ nke ngwaọrụ na usoro maka mmụta igwe, yana ndị ọkwọ ụgbọ ala maka iji ngwaike ngwaike dị iche iche sitere na AMD, Intel na NVIDIA, yana akụrụngwa maka […]

Ewepụtala ụlọ ọrụ kacha ukwuu n'ụwa iji wepụ carbon dioxide ozugbo na ikuku na Iceland.

Mammoth, nnukwu ụlọ ọrụ mmepụta ihe maka iwepu carbon dioxide (CO2) na ikuku, amalitela ọrụ na Hellisheydi, Iceland. Ụlọ ọrụ ahụ na-eji usoro nke ijide carbon dioxide kpọmkwem site na ikuku (Direct Air Capture, DAC). Ụlọ ọrụ teknụzụ ihu igwe Switzerland Climeworks na-arụ ụlọ ahụ, nke ndị ahịa ya gụnyere JPMorgan Chase, Microsoft, Stripe na Shopify. Isi iyi onyonyo: Isi mmalite Climeworks: 3dnews.ru

Stack Overflow ga-enye ọdịnaya ya maka ọzụzụ na ChatGPT, ma ndị ọrụ chọrọ ya ma ọ bụ na ha achọghị

Akụrụngwa Stack Overflow, nke emebere iji hazie enyemaka otu maka ndị mmemme, abanyela na nkwekọrịta ya na onye nrụpụta ChatGPT AI bot, OpenAI. Dị ka akụkụ nke nkwekọrịta a na-enweta, OpenAI ga-enwe ike iji API nweta data sitere na Stack Overflow forums wee jiri ya zụọ ChatGPT. Ndị ọrụ ikpo okwu enweghị mmasị na usoro a, mana, o doro anya, ha agaghị enwe ike ịgbanwe ihe ọ bụla. Isi mmalite foto: […]

Gbakọọ! 5.1 na 5.1.1

Na May 6 na 7, mwepụta nke 5.1 na 5.1.1 nke ụlọ akwụkwọ C ++, console na GUI calculators Qalculate!, nke edere na C++ ma kesaa n'okpuru ikikere GPL 2.0, mere. Mgbanwe na ọba akwụkwọ na ihe mgbako njikwa: nkwado maka idozi nha anya nwere ọrụ if(); nkwado maka mgbọrọgwụ ngwọta (a, x) = b (chọrọ uru ezi uche maka ln (a) / ln (b)); ọhụrụ ọrụ: powertower () na otutu (); nkeji ọhụrụ maka ịlele anyanwụ […]