ืชืืื ืืช -
ืขื
ืืคื ื ืืื ืฉื ืื, ืืื ืืกืื ืืืื ืื
ืืืจืืช ืืืกืคืจ ืืืืื ืฉื ืืื ืขืืจ, ืืืื ืืกืื ืขืืืื ื ืืืฆืื ืืืฆืข ืขืืืื ืืื ืืช. ืืืืจื ืืกืคืจ"
ืกืื ืชืืช ืืืืืงื ืืชืงืืืช "ืืืืจืื ืฉืืคืขืืื ืืขืฆืืืืฆืจืื ืืืชืื ืกืงืจืืคืืื ื-Skill ืื Python ืฉื ืฉื ื ืืืืืื ืฉืืจืืช ืืื ืืืฆืืจ ืืืชื ืกืคืจืืืชืชืืื .ืกืงืจืืคืืื ื ืืชืืื ืื ืืื ืื ืชื ืืืืืช ืฉื ืืฆืจื ืขื ืืื ืืขืจืืืช EDA. ืืืฉืจ ืืคืชืืื ืฉืื ืืืืฆืขืืช ืืื ืืืืืืืช ืชืืืื ืฉื 22nm, ืืืืืช ืืื ืืืืืื ืืืืืฉื ืขื 30 ืืจื-ืืืื.
DARPA ืืืืืื ืืชืงื ืืช ืืืฆื ืืื ืกืืช ืืชืงื ืืช ืชืืืืื ืืชืื ืื. ืื ืืกืืื ืืช
ืืืื ืกืื ืฉื ืชืืื ืืช
ืืชืืื ืืช ืืืืืช ืืกืคืจ ืคืจืืืงืืื ืืืฉืชืืฉืื ืืืื ืืืืืืืช ืืืืืช ืืืื ื ืืืขื ื ืืื ืืืคืื ืฉืืืื ืืืืืื ืฉื ืืฆืืจืช ืฉืืืื ืืืืืืืืืื. ืืืกืืจืช ืืืืืื
ืจืฅ ืืจืืื ืืื ืืื ืื ืืืื ืกืคืจืืืช RTL ื-GDSII. ืืืืจืื ืื ืื ืงืืฆื ืืกื ื ืชืื ืื ืฉืื ืชืงื ืชืขืฉืืืชื ืืืืืคืช ืืืืข ืขื ืืขืืืื ืืฉืืืืื ืืืืืคืืืืืืืช ืฉืืื. ืืคืชืจืื ืืืืกืก ืขื ืืื ืืืืืืืช ื-Docker Container. ืืชื ืืืื ืืืคืขืื ืืช Flow Runner ืื ืืขื ื ืืื ืืืืคื ืืงืืื. ืืืจืื ืืืชืงื ื ื ืืฆื ืืืืืจ ืืจืฉืื
ืืืืฃ ืืื ืคืชืจืื ืขื ื ืืืืืกืก ืขื ืืืืืช ืืืื ื, ืืฉืจ ืืืจืื ืขื ืืฆืืช ืจืืืืื ืขื ืฉืื ืืืืืืืฆืื ืฉื ื ืืชืื. ืขื ืืื
TritonCTS - ืืื ืขืืจ ืืืืืื ืคืืืกื ืืฉืขืื ืืืกืืคืงืื ืืฉืื. ืขืืืจ ืื ืชื ืืืชืืช ืฉืขืื ืืื ืืืงื ืืืืฉืืจ ืืืืชื ืขืืืืืื. ืขืืงืจืื ืืคืขืืื ืืืืกืก ืขื
OpenSTA - ืื ืืข ืื ืืชืื ืชืืืื ืกืืื. ืื ื ืืชื ืืืขืฆื ืืช ืืืืืื ืืช ืืืืืง ืืช ืืคืื ืงืฆืืื ืืืืช ืฉื ืืฉืื ืืคื ื ืฉืืื ืืืจืื ืืคืืขื. ืงืื ืืืืืื ื-OpenSTA
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
ืืื ืืฉืืจืืช ืชืืื ืืชืืืืจื Netlist ืฉื ืงืื Verilog, ืกืคืจืืืช ืคืืจืื Liberty, ืงืืฆื SDC ืืื'.
ืืชืจืื ืืช ืืืกืจืื ืืช
ืืืืืื ื-IBM ื-IEEE
ืืื ืื, ืฆืคืื ืฉืืืืคื ืืคืชืื ืฉื OpenROAD ืืืฆืืจ ืงืืืื ืจืืช ืขืืฆืื ืกืืื ืืืืื ืืืืฉืื ืกืืืจืืืคืื ืืืฉืื.
ืชืืื ืืช -
ืืฉ ืืืจ ืืฉืชืชืคืื - ืืขืืื ืืคืืชืื ืฉืืืื ืฉืืกืืกื ืืืื ืืืจืกืืืช ืืืฉืืื,
ืืกื ืืื, ืืืืื ืืืคืืชืืื ืืื ืืืช DARPA ืฆืคืืื ืืฉืคืขื ืืืืืืช ืขื ืชืขืฉืืืช ืืืขืืืื, ืืคืจืืืงืืื ืืืฉืื ื ืืกืคืื ืืชืืืื ืืฆืืฅ ืืชืืื ืื. ืืืืื ืชืืื ืืื
ืืืื ืืืื ืื ืืืืคื ืืืคืฉื ืืขื ืืงืื ืืืจืืื ืื ืขืฆืืืืื ืืืกืืืจื-ืืคืื ืืคืฉืจืืืืช ื ืืกืคืืช. ืืืชืื ืฉืขื ืืืื, ืืืืฉืืช ืืืืฉืืช ืฉื OpenROAD ืืคืืชืื ืืื EDA ืืขืืฆืื ืฉืืืื ืขืฉืืืืช ืืืคืื ืืกืื ืืจื ืืชืขืฉืืื.
ืขื ืื ืื ืื ื ืืืชืืื ืืืืื ืืืจืืื ื ืฉืื ื:
Unboxing: Cisco UCS B480 M5 Blade Server Unboxing: ืืขืจืืช ืืืืกืื ืืื-ืคืืืฉ NetApp AFF A300 - ืืื ืืืคื ืื ืฉืจืชืื ืืฆืคืืคืืช ืืืืื: ื ืืชืื ืคืชืจืื ืืช Cisco UCS - unboxing
ืืงืืจ: www.habr.com