Фото—
上の
数年前、インテルのエンジニアは
多数の補助ツールがあるにもかかわらず、エンジニアは依然として一部の作業を手動で行う必要があります。本の著者たち」
高度な論理合成 「デザイナーは時々こう言うんです」する必要があります Skill または Python で XNUMX 万行のスクリプトを作成し、ライブラリを作成する細胞 .EDA システムによって生成されたレポートを解析するためのスクリプトも作成されます。 22nm プロセス テクノロジを使用してチップを開発する場合、これらのレポートには最大 30 テラバイトが必要になる場合があります。
DARPA は状況を修正し、設計プロセスの標準化を試みることを決定しました。代理店でも
どのようなプログラムですか
このプログラムには、機械学習とクラウド テクノロジーを使用してチップ作成の個々の段階を自動化するいくつかのプロジェクトが含まれています。取り組みの一環として
フローランナー RTL および GDSII ライブラリを管理するためのツールです。後者は、集積回路とそのトポロジに関する情報を交換するための業界標準であるデータベース ファイルです。このソリューションは、Docker コンテナー テクノロジーに基づいています。 Flow Runner はクラウドとローカルの両方で実行できます。インストールガイドは公式リポジトリにあります
交換する は機械学習に基づくクラウド ソリューションで、チップ上にコンポーネントを配置し、ルーティングを自動化します。による
トリトンCTS — チップに供給されるクロック パルスを最適化するためのユーティリティ。クロック信号をデバイスのすべての部分に同じ遅延でルーティングするのに役立ちます。動作原理は以下に基づいています
OpenSTA — 静的タイミング解析用のエンジン。これにより、設計者は実際に組み立てる前にチップの機能をチェックする機会が得られます。 OpenSTA のコード例
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
このユーティリティは、Verilog コード、Liberty 形式ライブラリ、SDC ファイルなどのネットリスト記述をサポートします。
利点と欠点
IBMとIEEEの専門家
また、OpenROAD のオープンな性質により、ツールを中心とした強力なコミュニティが形成され、新しいスタートアップが誘致されることも期待されています。
Фото—
すでに参加者がいます - ミシガン大学に拠点を置くチップを開発している研究室、
全体として、DARPA のリーダーシップの下で開発されているツールはプロセッサ業界にプラスの影響を与えることが期待されており、この分野ではさらに多くの新しいプロジェクトが誕生し始めるでしょう。例としてはツールが挙げられます
無料で利用できるツールにより、独立系の組織や新興企業にさらに多くの選択肢が与えられます。時間が経てば、EDA ツール開発とチップ設計に対する OpenROAD の新しいアプローチが業界標準になる可能性があります。
私たちが企業ブログで書いている内容は次のとおりです。
開梱: Cisco UCS B480 M5 ブレード サーバ 開梱: オールフラッシュ ストレージ システム NetApp AFF A300 - 内部の外観 高密度サーバー: ソリューションの分析 Cisco UCS - 開梱
出所: habr.com