動的モデリング䞭の技術仕様芁件の自動怜蚌

テヌマを続ける 「あなたの蚌拠は䜕ですか」, 数孊的モデリングの問題を反察偎から芋おみたしょう。 このモデルが人生の玠朎な真実に察応しおいるず確信できたら、「ここには正確に䜕があるのか​​?」ずいう䞻芁な質問に答えるこずができたす。 技術的オブゞェクトのモデルを䜜成するずき、私たちは通垞、そのオブゞェクトが期埅を満たしおいるこずを確認したいず考えたす。 この目的のために、プロセスの動的蚈算が実行され、その結果が芁件ず比范されたす。 これはデゞタルツむン、仮想プロトタむプなどです。 ファッショナブルな小さな人たちは、蚭蚈段階で、蚈画したものを確実に実珟する方法の問題を解決したす。

システムが蚭蚈どおりであるこず、蚭蚈が飛ぶのか浮くのかを迅速に確認するにはどうすればよいでしょうか? そしお飛ぶずしたらどれくらいの高さになるのでしょうか そしお浮くずしたらどれくらいの深さになるのでしょうか

動的モデリング䞭の技術仕様芁件の自動怜蚌

この蚘事では、技術システムの動的モデルを䜜成する際の、技術建物の芁件ぞの準拠の怜蚌の自動化に぀いお説明したす。 䟋ずしお、航空機の空冷システムの技術仕様の芁玠を芋おみたしょう。

数倀的に衚珟できる芁件を考慮し、特定の蚈算モデルに基づいお数孊的に怜蚌したす。 これがあらゆる技術システムの䞀般芁件の䞀郚にすぎないこずは明らかですが、オブゞェクトの動的モデルの䜜成に時間、神経、資金を費やすのは、これらの芁件を確認するためです。

技術芁件を文曞の圢匏で蚘述する堎合、いく぀かのタむプの異なる芁件を区別するこずができ、それぞれの芁件を満たすための自動怜蚌を圢成するために異なるアプロヌチが必芁です。

たずえば、次の小芏暡だが珟実的な䞀連の芁件を考えおみたしょう。

  1. 氎凊理システム入口の倧気枩床
    駐車堎 - マむナス35℃から35℃たで、
    飛行䞭 - マむナス35床から39床。
  2. 飛行䞭の倧気の静圧は 700  1013 GPa (526  760 mm Hg) です。
  3. 飛行䞭の SVO 吞気口の入口の党気圧は 754  1200 GPa (566  1050 mm Hg) です。
  4. 冷华空気枩床:
    駐車堎 - 27 °С以䞋、テクニカルブロック - 29 °С以䞋、
    飛行䞭 - 25 °С以䞋、テクニカルブロックの堎合 - 27 °С以䞋。
  5. 冷华颚量:
    駐車時 - 少なくずも 708 kg/h、
    飛行䞭 - 660 kg/h以䞊。
  6. 蚈噚宀内の気枩は 60 °C 以䞋です。
  7. 冷华空気䞭の埮现な自由氎分の量は、也燥空気 2 kg あたり XNUMX g 以䞋です。

この限られた芁件セットの䞭でも、システム内で別の方法で凊理する必芁があるカテゎリが少なくずも XNUMX ぀ありたす。

  • システムの動䜜条件の芁件 (第 1 条から第 3 条)。
  • システムのパラメヌタ芁件 (条項 3  7)。

システム動䜜条件の芁件
モデリング䞭に開発䞭のシステムの倖郚条件は、境界条件ずしお、たたは䞀般的なシステムの動䜜の結果ずしお指定できたす。
動的シミュレヌションでは、指定された動䜜条件がシミュレヌション プロセスでカバヌされおいるこずを確認する必芁がありたす。

パラメトリックシステム芁件
これらの芁件は、システム自䜓によっお提䟛されるパラメヌタヌです。 モデリング プロセス䞭に、これらのパラメヌタヌを蚈算結果ずしお取埗し、特定の蚈算ごずに芁件が満たされおいるこずを確認できたす。

芁件の特定ずコヌディング

芁件の凊理を容易にするために、既存の暙準では各芁件に識別子を割り圓おるこずが掚奚されおいたす。 識別子を割り圓おるずきは、統䞀されたコヌディング システムを䜿甚するこずが匷く望たれたす。

芁件コヌドは、芁件の泚文番号を衚す単玔な数字であるこずも、芁件のタむプのコヌド、芁件が適甚されるシステムたたはナニットのコヌド、パラメヌタ コヌド、堎所コヌド、および芁求コヌドを含むこずもできたす。その他、゚ンゞニアが想像できるあらゆるもの。 (゚ンコヌディングの䜿甚に぀いおは蚘事を参照しおください)

è¡š 1 は、芁件コヌディングの簡単な䟋を瀺しおいたす。

  1. 芁件の゜ヌスコヌド R-requirements TK;
  2. コヌド 芁件のタむプ E - 芁件 - 環境パラメヌタたたは動䜜条件
    S - システムによっお提䟛される芁件。
  3. 航空機ステヌタス コヌド 0 – 任意、G – 駐機䞭、F – 飛行䞭。
  4. 物理パラメヌタのタむプ コヌド T – 枩床、P – 圧力、G – 流量、湿床 H;
  5. 芁件のシリアル番号。

ID
必芁条件
説明 パラメヌタヌ
REGT01 氎冷システムの入り口の呚囲枩床駐車堎 - マむナス35°Сから。 最倧35°С。
REFT01 防空システムの入り口の倧気枩床飛行䞭 - マむナス35°Сから39°С。
REFP01 飛行䞭の静倧気圧は 700  1013 hPa (526  760 mm Hg) です。
REFP02 飛行䞭の SVO 吞気口の入口の党気圧は 754  1200 hPa (566  1050 mm Hg) です。
RSGT01 冷华空気枩床: 駐車時 27 °С 以䞋
RSGT02 冷华空気枩床: 駐車堎、技術ナニットの堎合は 29 °С 以䞋
RSFT01 飛行䞭の冷华空気枩床は25℃以䞋
RSFT02 冷华空気枩床: 飛行䞭、技術ナニットの堎合は 27 °С 以䞋
RSGG01 冷华颚量: 駐車時 708 kg/h 以䞊
RSFG01 冷华空気流量: 飛行䞭 660 kg/h 以䞊
RS0T01 蚈噚宀内の気枩は 60 °С 以䞋
RSH01 冷华空気䞭の埮现な自由氎分量は、也燥空気 2 kg あたり XNUMX g 以䞋です。

芁件怜蚌システムの蚭蚈。

蚭蚈芁件ごずに、蚭蚈パラメヌタず芁件で指定されたパラメヌタの察応を評䟡するためのアルゎリズムがありたす。 䞀般に、どのような制埡システムにも、芁件をチェックするためのアルゎリズムがデフォルトで垞に含たれおいたす。 そしお、どんなレギュレヌタヌにもそれらは含たれおいたす。 枩床が限界を超えるず、゚アコンがオンになりたす。 したがっお、芏制の最初の段階は、パラメヌタヌが芁件を満たしおいるかどうかを確認するこずです。

たた、怜蚌はアルゎリズムであるため、制埡プログラムの䜜成に䜿甚するものず同じツヌルを䜿甚できたす。 たずえば、SimInTech 環境では、モデルのさたざたな郚分を含むプロゞェクト パッケヌゞを䜜成し、別個のプロゞェクト (オブゞェクト モデル、制埡システム モデル、環境モデルなど) の圢匏で実行できたす。

この堎合の芁件怜蚌プロゞェクトは同じアルゎリズムプロゞェクトずなり、モデルパッケヌゞに接続されたす。 たた、動的モデリング モヌドでは、技術仕様の芁件に準拠するための分析が実行されたす。

システム蚭蚈の考えられる䟋を図 1 に瀺したす。

動的モデリング䞭の技術仕様芁件の自動怜蚌
図 1. 怜蚌プロゞェクトの蚭蚈䟋。

制埡アルゎリズムず同様に、芁件も䞀連のシヌトずしお䜜成できたす。 SimInTech、Simulink、AmeSim などの構造モデリング環境でのアルゎリズムの操䜜を容易にするために、サブモデルの圢匏でマルチレベル構造を䜜成する機胜が䜿甚されたす。 この構成により、制埡アルゎリズムの堎合ず同様に、さたざたな芁件をセットにグルヌプ化しお、䞀連の芁件の凊理を簡玠化するこずができたす (図 2 を参照)。

動的モデリング䞭の技術仕様芁件の自動怜蚌
図 2. 芁件怜蚌モデルの階局構造。

たずえば、怜蚎䞭のケヌスでは、環境に察する芁件ずシステムに察する盎接的な芁件の XNUMX ぀のグルヌプが区別されたす。 したがっお、XNUMX レベルのデヌタ構造が䜿甚されたす。぀たり、それぞれがアルゎリズムのリヌフである XNUMX ぀のグルヌプです。

デヌタをモデルに接続するには、プロゞェクトの郚分間で亀換するためのデヌタを保存する信号デヌタベヌスを生成するための暙準スキヌムが䜿甚されたす。

゜フトりェアを䜜成およびテストする堎合、制埡システムで䜿甚されるセンサヌ (実際のシステム センサヌのアナログ) の枬定倀がこのデヌタベヌスに保存されたす。
テスト プロゞェクトの堎合、動的モデルで蚈算されたパラメヌタヌはすべお同じデヌタベヌスに保存できるため、芁件が満たされおいるかどうかを確認するために䜿甚できたす。

この堎合、動的モデル自䜓は、任意の数孊モデリング システムで実行するこずも、実行可胜プログラムの圢匏で実行するこずもできたす。 唯䞀の芁件は、モデリング デヌタを倖郚環境に発行するための゜フトりェア むンタヌフェむスの存圚です。

動的モデリング䞭の技術仕様芁件の自動怜蚌
図 3. 怜蚌プロゞェクトを耇雑なモデルに接続する。

基本的な芁件怜蚌シヌトの䟋を図 4 に瀺したす。開発者の芳点から芋るず、これは芁件怜蚌アルゎリズムがグラフィカルに瀺された埓来の蚈算図です。

動的モデリング䞭の技術仕様芁件の自動怜蚌
図 4. 芁件チェックシヌト。

チェックシヌトの䞻芁郚分を図5に瀺したす。チェックアルゎリズムは制埡アルゎリズムの蚭蚈図ず同様に䜜成されたす。 右偎には、デヌタベヌスから信号を読み取るためのブロックがありたす。 このブロックは、シミュレヌション䞭に信号デヌタベヌスにアクセスしたす。

受信した信号を解析しお芁件怜蚌条件を算出したす。 この堎合、航空機の䜍眮 (駐機䞭か飛行䞭か) を決定するために高床解析が実行されたす。 この目的のために、他の信号ずモデルの蚈算されたパラメヌタヌを䜿甚できたす。

チェックされる怜蚌条件ずパラメヌタは暙準怜蚌ブロックに転送され、そこでこれらのパラメヌタが指定された芁件に準拠しおいるかどうか分析されたす。 結果は、チェックリストの自動生成に䜿甚できる方法で信号デヌタベヌスに蚘録されたす。

動的モデリング䞭の技術仕様芁件の自動怜蚌
図5. 芁件怜蚌蚈算シヌトの構成

テストされるパラメヌタは、デヌタベヌスに含たれる信号を必ずしも䜿甚する必芁はなく、シミュレヌション プロセス䞭に蚈算されたパラメヌタによっお制埡されたす。 怜蚌条件を蚈算するのず同様に、芁件草案の枠組み内で远加の蚈算を実行するこずを劚げるものはありたせん。

たずえば、次の芁件がありたす。

目暙ぞの飛行䞭に補正システムを䜜動させる回数は 5 回を超えおはならず、補正システムの合蚈動䜜時間は 30 秒を超えおはなりたせん。

この堎合、芁件の蚭蚈図に起動回数ず総皌働時間に察抗するアルゎリズムを远加したす。

兞型的な芁件怜蚌ブロック。

各暙準芁件のチェック ボックスは、特定の皮類の芁件の充足床を蚈算するように蚭蚈されおいたす。 たずえば、環境芁件には、駐機䞭および飛行䞭の呚囲動䜜枩床の範囲が含たれたす。 このブロックはモデル内の気枩をパラメヌタヌずしお受け取り、このパラメヌタヌが指定された枩床範囲をカバヌするかどうかを刀断する必芁がありたす。/p>

このブロックには、param ずcondition ずいう XNUMX ぀の入力ポヌトが含たれおいたす。

最初のものには、チェックされるパラメヌタが䟛絊されたす。 この堎合は「倖気枩」です。

ブヌル倉数が XNUMX 番目のポヌトに提䟛されたす。これは、チェックを実行するための条件です。

1 番目の入力で TRUE (XNUMX) が受信された堎合、ブロックは芁件怜蚌蚈算を実行したす。

0 番目の入力が FALSE (XNUMX) を受け取った堎合、テスト条件は満たされたせん。 これは蚈算条件を考慮するために必芁です。 この䟋では、この入力はモデルの状態に応じおチェックを有効たたは無効にするために䜿甚されたす。 シミュレヌション䞭に航空機が地䞊にある堎合、飛行に関連する芁件はチェックされたせん。逆も同様です。航空機が飛行䞭の堎合、埅機䞭の操䜜に関連する芁件はチェックされたせん。

この入力は、蚈算の初期段階など、モデルを蚭定するずきにも䜿甚できたす。 モデルが必芁な状態になるずチェック ブロックは無効になりたすが、システムが必芁な動䜜モヌドに達するずすぐにチェック ブロックがオンになりたす。

このブロックのパラメヌタは次のずおりです。

  • 境界条件: チェックする必芁がある範囲の䞊限 (UpLimit) ず䞋限 (DownLimit)。
  • 境界範囲で必芁なシステム露出時間 (TimeInterval) (秒単䜍)。
  • リク゚ストID ReqName;
  • 範囲超過の蚱容性 Out_range は、チェックされた範囲を超える倀が芁件に違反するかどうかを決定するブヌル倉数です。

堎合によっおは、テスト倀の出力は、システムにある皋床のマヌゞンがあり、動䜜範囲倖で動䜜しおいる可胜性があるこずを瀺しおいたす。 他の堎合、出力はシステムが蚭定倀を範囲内に維持できないこずを意味したす。

動的モデリング䞭の技術仕様芁件の自動怜蚌
図 6. 図の兞型的なプロパティ チェック ブロックずそのパラメヌタ。

このブロックの蚈算の結果、出力で Result 倉数が圢成され、次の倀が取られたす。

  • 0 – rNone、倀は定矩されおいたせん。
  • 1 – r完了、芁件は満たされおいたす。
  • 2 – rFault、芁件が満たされおいたせん。

ブロック むメヌゞには次のものが含たれたす。

  • 識別子のテキスト。
  • 枬定限界パラメヌタのデゞタル衚瀺。
  • パラメヌタステヌタスの色の識別子。

ブロック内には、かなり耇雑な論理掚論回路が存圚する可胜性がありたす。

たずえば、図 6 に瀺すナニットの動䜜枩床範囲を確認するために、内郚回路を図 7 に瀺したす。

動的モデリング䞭の技術仕様芁件の自動怜蚌
図 7. 枩床範囲決定ナニットの内郚図。

回路ブロック内では、ブロック パラメヌタヌで指定されたプロパティが䜿甚されたす。
ブロックの内郚図には、芁件ぞの適合性の分析に加えお、シミュレヌション結果の衚瀺に必芁なグラフが含たれおいたす。 このグラフは、蚈算䞭の衚瀺ず蚈算埌の結果の分析の䞡方に䜿甚できたす。

蚈算結果はブロックの出力に送信され、同時にプロゞェクト党䜓の結果に基づいお䜜成される䞀般的なレポヌト ファむルに蚘録されたす。 (図8参照)

シミュレヌション結果に基づいお䜜成されるレポヌトの䟋ずしおは、所定のフォヌマットに埓っお䜜成されたhtmlファむルが挙げられたす。 フォヌマットは、特定の組織で受け入れられるフォヌマットに任意に蚭定できたす。

回路ブロック内では、ブロック パラメヌタヌで指定されたプロパティが䜿甚されたす。
ブロックの内郚図には、芁件ぞの適合性の分析に加えお、シミュレヌション結果の衚瀺に必芁なグラフが含たれおいたす。 このグラフは、蚈算䞭の衚瀺ず蚈算埌の結果の分析の䞡方に䜿甚できたす。

蚈算結果はブロックの出力に送信され、同時にプロゞェクト党䜓の結果に基づいお䜜成される䞀般的なレポヌト ファむルに蚘録されたす。 (図8参照)

シミュレヌション結果に基づいお䜜成されるレポヌトの䟋ずしおは、所定のフォヌマットに埓っお䜜成されたhtmlファむルが挙げられたす。 フォヌマットは、特定の組織で受け入れられるフォヌマットに任意に蚭定できたす。

動的モデリング䞭の技術仕様芁件の自動怜蚌
図 8. シミュレヌション結果に基づくレポヌト ファむルの䟋。

この䟋では、レポヌト フォヌムがプロゞェクト プロパティで盎接構成され、テヌブル内の圢匏がグロヌバル プロゞェクト信号ずしお蚭定されたす。 この堎合、SimInTech 自䜓がレポヌトのセットアップの問題を解決し、結果をファむルに曞き蟌むためのブロックがこれらの行を䜿甚しおレポヌト ファむルに曞き蟌みたす。

動的モデリング䞭の技術仕様芁件の自動怜蚌
図 9. グロヌバル プロゞェクト シグナルでのレポヌト圢匏の蚭定

芁件に察する信号デヌタベヌスの䜿甚。

プロパティ蚭定の䜜業を自動化するために、暙準的なブロックごずに信号デヌタベヌスに暙準構造が䜜成されたす。 (図10参照)

動的モデリング䞭の技術仕様芁件の自動怜蚌
図 10. 信号デヌタベヌス内の芁件チェック ブロックの構造の䟋。

信号デヌタベヌスは以䞋を提䟛したす。

  • 必芁なシステム芁件パラメヌタをすべお保存したす。
  • 指定されたパラメヌタず珟圚のモデリング結果から既存のプロゞェクト芁件を簡単に衚瀺できたす。
  • スクリプト プログラミング蚀語を䜿甚しお、XNUMX ぀のブロックたたはブロックのグルヌプをセットアップしたす。 信号デヌタベヌスの倉曎は、図内のブロック プロパティ倀の倉曎に぀ながりたす。
  • テキストの説明、技術仕様項目ぞのリンク、たたは芁件管理システムの識別子を保存したす。

芁件の信号デヌタベヌス構造は、サヌドパヌティの芁件管理システムず連携するように簡単に構成できたす。芁件管理システムずの盞互䜜甚の䞀般的な図を図 11 に瀺したす。

動的モデリング䞭の技術仕様芁件の自動怜蚌
図 11. 芁件管理システムずの察話の図。

SimInTech テスト プロゞェクトず芁件管理システム間の察話のシヌケンスは次のずおりです。

  1. 委蚗条件は芁件に分類されたす。
  2. 技術仕様の芁件は、技術プロセスの数孊的モデリングによっお怜蚌できるように特定されたす。
  3. 遞択した芁件の属性は、暙準ブロックの構造で SimInTech 信号デヌタベヌスに転送されたす (最高枩床ず最䜎枩床など)。
  4. 蚈算プロセス䞭に、構造デヌタがブロック蚭蚈図に転送され、解析が実行され、結果が信号デヌタベヌスに保存されたす。
  5. 蚈算が完了するず、分析結果は芁件管理システムに転送されたす。

芁件のステップ 3  5 は、蚭蚈プロセス䞭に蚭蚈や芁件に倉曎が発生し、倉曎の圱響を再テストする必芁がある堎合に繰り返すこずができたす。

結論。

  • 䜜成されたシステムのプロトタむプにより、技術仕様の芁件に準拠するために既存のモデルを分析する時間が倧幅に短瞮されたす。
  • 提案されたテスト技術は既存の動的モデルを䜿甚し、SimInTech 環境で実行されない動的モデルを含むあらゆる動的モデルにも䜿甚できたす。
  • バッチデヌタ線成を䜿甚するず、モデル開発ず䞊行しお芁件怜蚌パッケヌゞを䜜成したり、これらのパッケヌゞをモデル開発の技術仕様ずしお䜿甚したりするこずもできたす。
  • このテクノロゞヌは、倚額のコストをかけずに既存の芁件管理システムず統合できたす。

最埌たで読んでいただいた方には、 プロトタむプがどのように動䜜するかを瀺すビデオぞのリンク。

出所 habr.com

コメントを远加したす