オープンソースFPGAイニシアチブ

フィールド プログラマブル ゲート アレイの使用に関連するオープン ハードウェアおよびソフトウェア ソリューションの共同開発のための環境の開発、推進、構築を目的とした、新しい非営利組織であるオープンソース FPGA 財団 (OSFPGA) の設立を発表しました ( FPGA) チップ製造後に再プログラム可能なロジック動作を可能にする集積回路。 このようなチップの主要な XNUMX 項演算 (AND、NAND、OR、NOR、および XOR) は、複数の入力と XNUMX つの出力を備えた論理ゲート (スイッチ) を使用して実装されており、それらの間の接続構成はソフトウェアによって変更できます。

OSFPGA の創設メンバーには、EPFL、QuickLogic、Zero ASIC、GSG Group などの企業やプロジェクトの著名な FPGA テクノロジ研究者が含まれています。 新しい組織の後援の下、FPGA チップに基づくラピッド プロトタイピングと電子設計自動化 (EDA) のサポートのための一連のオープンで無料のツールが開発されます。 この組織は、FPGA に関連するオープンスタンダードの共同開発も監督し、企業が経験やテクノロジーを共有するための中立的なフォーラムを提供します。

OSFPGA により、チップ企業は FPGA の製造に関わるエンジニアリング プロセスの一部を排除し、エンドユーザー開発者に既成のカスタム FPGA ソフトウェア スタックを提供し、新しい高品質アーキテクチャを共同で作成できるようになると期待されています。 OSFPGA が提供するオープン ツールは、業界標準を満たす、またはそれを超える最高レベルの品質に維持されることに注意してください。

オープンソース FPGA Foundation の主な目標は次のとおりです。

  • FPGA ハードウェアおよびソフトウェアに関連する一連のツールを開発するためのリソースとインフラストラクチャを提供します。
  • さまざまなイベントを通じてこれらのツールの利用を促進します。
  • 先進的な FPGA アーキテクチャの研究のためのツールのサポート、開発、オープン性、および関連するソフトウェアおよびハードウェアの開発を提供します。
  • 出版物や期限切れの特許開示から派生した、公的に入手可能な FPGA アーキテクチャ、設計テクノロジ、およびボード設計のカタログを維持します。
  • 興味のある開発者のコ​​ミュニティを構築するために、トレーニング資料を準備してアクセスできるようにします。
  • チップ メーカーとのコラボレーションを簡素化し、新しい FPGA アーキテクチャとハードウェアのテストと検証にかかるコストと時間を削減します。

関連するオープンソース ツール:

  • OpenFPGA は、Verilog 記述に基づいたハードウェア生成をサポートする FPGA 用の電子設計自動化 (EDA) キットです。
  • 1st CLaaS は、FPGA を使用して Web およびクラウド アプリケーション用のハードウェア アクセラレータを作成できるフレームワークです。
  • Verilog-to-Routing (VTR) は、Verilog 言語での記述に基づいて、選択した FPGA のコンフィギュレーションを作成できるツールキットです。
  • Symbiflow は、Xilinx 7、Lattice iCE40、Lattice ECP5、および QuickLogic EOS S3 FPGA に基づくソリューションを開発するためのツールキットです。
  • Yosys は、一般的なアプリケーション用の Verilog RTL 合成フレームワークです。
  • EPFL は、論理合成アプリケーションを開発するためのライブラリのコレクションです。
  • LSOracle は、論理合成結果を最適化するための EPFL ライブラリへのアドオンです。
  • Edalize は、電子設計自動化 (EDA) システムと対話し、それらのプロジェクト ファイルを生成するための Python ツールキットです。
  • GHDL は、VHDL ハードウェア記述言語のコンパイラ、アナライザ、シミュレータ、およびシンセサイザです。
  • VerilogCreator は、このアプリケーションを Verilog 2005 の開発環境に変える QtCreator のプラグインです。
  • FuseSoC は、FPGA/ASIC 用の HDL (ハードウェア記述言語) コードおよびアセンブリ抽象化ユーティリティのパッケージ マネージャーです。
  • SOFA (Skywater オープンソース FPGA) は、Skywater PDK と OpenFPGA フレームワークを使用して作成されたオープン FPGA IP (知的財産) のセットです。
  • openFPGALoader は、FPGA をプログラミングするためのユーティリティです。
  • LiteDRAM - DRAM 実装を備えた FPGA 用のカスタム IP コア。

さらに、Main_MiSTer プロジェクトにも注目してください。これにより、テレビやモニターに接続された DE10-Nano FPGA ボードを使用して、古いゲーム コンソールやクラシック コンピューターの機器をシミュレートできるようになります。 エミュレータを実行するのとは異なり、FPGA を使用すると、元のハードウェア環境を再作成して、古いハードウェア プラットフォーム用の既存のシステム イメージやアプリケーションを実行できるようになります。

出所: オープンネット.ru

コメントを追加します