αž€αžΆαžšαž–αž·αž—αžΆαž€αŸ’αžŸαžΆαŸ– αž‚αž˜αŸ’αžšαŸ„αž„ OpenROAD αž˜αžΆαž“αž”αŸ†αžŽαž„αžŠαŸ„αŸ‡αžŸαŸ’αžšαžΆαž™αž”αž‰αŸ’αž αžΆαžŸαŸ’αžœαŸαž™αž”αŸ’αžšαžœαžαŸ’αžαž·αž€αž˜αŸ’αž˜αž“αŸƒαž€αžΆαžšαžšαž…αž“αžΆαžαž½αžšαž€αŸ’αž”αžΆαž›

αž€αžΆαžšαž–αž·αž—αžΆαž€αŸ’αžŸαžΆαŸ– αž‚αž˜αŸ’αžšαŸ„αž„ OpenROAD αž˜αžΆαž“αž”αŸ†αžŽαž„αžŠαŸ„αŸ‡αžŸαŸ’αžšαžΆαž™αž”αž‰αŸ’αž αžΆαžŸαŸ’αžœαŸαž™αž”αŸ’αžšαžœαžαŸ’αžαž·αž€αž˜αŸ’αž˜αž“αŸƒαž€αžΆαžšαžšαž…αž“αžΆαžαž½αžšαž€αŸ’αž”αžΆαž›
Ρ‚ΠΎΠΎΡ‚ΠΎ - Pexels - CC BY

តអម αžŠαŸ‚αž›αž”αžΆαž“αž•αŸ’αžαž›αŸ‹αž±αŸ’αž™ PWC αžŠαŸ‚αž›αž‡αžΆαž‘αžΈαž•αŸ’αžŸαžΆαžšαž”αž…αŸ’αž…αŸαž€αžœαž·αž‘αŸ’αž™αžΆ semiconductor αž€αŸ†αž–αž»αž„αž€αžΎαž“αž‘αžΎαž„ - αž€αžΆαž›αž–αžΈαž†αŸ’αž“αžΆαŸ†αž˜αž»αž“αžœαžΆαž”αžΆαž“αžˆαžΆαž“αžŠαž›αŸ‹ 481 αž–αžΆαž“αŸ‹αž›αžΆαž“αžŠαž»αž›αŸ’αž›αžΆαžšαŸ” αž”αŸ‰αž»αž“αŸ’αžαŸ‚αž’αžαŸ’αžšαžΆαž€αŸ†αžŽαžΎαž“αžšαž”αžŸαŸ‹αžœαžΆαž“αžΆαž–αŸαž›αžαŸ’αž˜αžΈαŸ—αž“αŸαŸ‡ αžαž™αž…αž»αŸ‡. αž€αŸ’αž“αž»αž„αž…αŸ†αžŽαŸ„αž˜αž αŸαžαž»αž•αž›αžŸαž˜αŸ’αžšαžΆαž”αŸ‹αž€αžΆαžšαž’αŸ’αž›αžΆαž€αŸ‹αž…αž»αŸ‡αž‚αžΊαž—αžΆαž–αžŸαŸ’αž˜αž»αž‚αžŸαŸ’αž˜αžΆαž‰αž“αŸƒαžŠαŸ†αžŽαžΎαžšαž€αžΆαžšαžšαž…αž“αžΆαž§αž”αž€αžšαžŽαŸ αž“αž·αž„αž€αž„αŸ’αžœαŸ‡αžŸαŸ’αžœαŸαž™αž”αŸ’αžšαžœαžαŸ’αžαž·αž€αž˜αŸ’αž˜αŸ”

αž€αžΆαž›αž–αžΈαž”αŸ‰αž»αž“αŸ’αž˜αžΆαž“αž†αŸ’αž“αžΆαŸ†αž˜αž»αž“αžœαž·αžŸαŸ’αžœαž€αžšαž˜αž€αž–αžΈαž€αŸ’αžšαž»αž˜αž αŸŠαž»αž“ Intel αž”αžΆαž“αžŸαžšαžŸαŸαžšαžαžΆαž“αŸ…αž–αŸαž›αž”αž„αŸ’αž€αžΎαž microprocessor αžŠαŸ‚αž›αž˜αžΆαž“αž”αŸ’αžšαžŸαž·αž‘αŸ’αž’αž—αžΆαž–αžαŸ’αž–αžŸαŸ‹ αž’αŸ’αž“αž€αžαŸ’αžšαžΌαžœαžαŸ‚αž”αŸ’αžšαžΎαž§αž”αž€αžšαžŽαŸαž€αž˜αŸ’αž˜αžœαž·αž’αžΈαžŠαžΆαž…αŸ‹αžŠαŸ„αž™αž‘αŸ‚αž€αž–αžΈ 100-150 (ធេឌីធេ) αžŸαŸ’αžαžΆαž“αž€αžΆαžšαžŽαŸαž’αžΆαž…αž€αžΆαž“αŸ‹αžαŸ‚αž’αŸ’αž„αž“αŸ‹αž’αŸ’αž„αžšαž‘αžΎαž„αž€αŸ’αž“αž»αž„αž€αžšαžŽαžΈαž§αž”αž€αžšαžŽαŸαžαž»αžŸαž’αž˜αŸ’αž˜αžαžΆ αžŸαŸ’αžαžΆαž”αžαŸ’αž™αž€αž˜αŸ’αž˜αžŠαŸ‚αž›αžšαž½αž˜αž˜αžΆαž“αž”αŸ’αžšαž—αŸαž‘αž•αŸ’αžŸαŸαž„αž‚αŸ’αž“αžΆαž“αŸƒαž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž” - ASIC, FPGA, CPU ឬ GPU αŸ” αž‡αžΆαž›αž‘αŸ’αž’αž•αž› αž€αŸ†αž αž»αžŸαž€αŸ’αž“αž»αž„αž€αžΆαžšαžšαž…αž“αžΆαž€αžΎαžαž‘αžΎαž„αžŠαŸ‚αž›αž–αž“αŸ’αž™αžΆαžšαž–αŸαž›αž€αžΆαžšαž…αŸαž‰αž•αŸ’αžŸαžΆαž™αž•αž›αž·αžαž•αž›αŸ”

αž‘αŸ„αŸ‡αž”αžΈαž‡αžΆαž˜αžΆαž“αž§αž”αž€αžšαžŽαŸαž‡αŸ†αž“αž½αž™αž…αŸ’αžšαžΎαž“αž€αŸαžŠαŸ„αž™ αž€αŸαžœαž·αžŸαŸ’αžœαž€αžšαž“αŸ…αžαŸ‚αžαŸ’αžšαžΌαžœαž”αž„αŸ’αžαŸ†αž…αž·αžαŸ’αžαž’αŸ’αžœαžΎαž€αžΆαžšαž„αžΆαžšαžαŸ’αž›αŸ‡αžŠαŸ„αž™αžŠαŸƒαŸ” αž’αŸ’αž“αž€αž“αž·αž–αž“αŸ’αž’αžŸαŸ€αžœαž—αŸ… "αž€αžΆαžšαžŸαŸ†αž™αŸ„αž‚αžαž€αŸ’αž€αžœαž·αž‡αŸ’αž‡αžΆαž€αž˜αŸ’αžšαž·αžαžαŸ’αž–αžŸαŸ‹"αž–αž½αž€αž‚αŸαž“αž·αž™αžΆαž™αžαžΆαž‡αž½αž“αž€αžΆαž›αž’αŸ’αž“αž€αžšαž…αž“αžΆ αžαŸ’αžšαžΌαžœαžαŸ‚ αžŸαžšαžŸαŸαžšαžŸαŸ’αž‚αŸ’αžšαžΈαž”αž€αŸ’αž“αž»αž„αž‡αŸ†αž“αžΆαž‰ ឬ Python αž“αŸƒαž”αž“αŸ’αž‘αžΆαžαŸ‹αž–αžΈαžšαž›αžΆαž“αžŠαžΎαž˜αŸ’αž”αžΈαž”αž„αŸ’αž€αžΎαžαž”αžŽαŸ’αžŽαžΆαž›αŸαž™αž‡αžΆαž˜αž½αž™ αž€αŸ„αžŸαž·αž€αžΆ.

αžŸαŸ’αž‚αŸ’αžšαžΈαž”αž€αŸαžαŸ’αžšαžΌαžœαž”αžΆαž“αžŸαžšαžŸαŸαžšαžŠαžΎαž˜αŸ’αž”αžΈαž‰αŸ‚αž€αžšαž”αžΆαž™αž€αžΆαžšαžŽαŸαžŠαŸ‚αž›αž”αž„αŸ’αž€αžΎαžαžŠαŸ„αž™αž”αŸ’αžšαž–αŸαž“αŸ’αž’ EDA αž•αž„αžŠαŸ‚αžšαŸ” αž“αŸ…αž–αŸαž›αž”αž„αŸ’αž€αžΎαžαž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž”αžŠαŸ„αž™αž”αŸ’αžšαžΎαž”αž…αŸ’αž…αŸαž€αžœαž·αž‘αŸ’αž™αžΆαžŠαŸ†αžŽαžΎαžšαž€αžΆαžš 22nm αžšαž”αžΆαž™αž€αžΆαžšαžŽαŸαž‘αžΆαŸ†αž„αž“αŸαŸ‡αž’αžΆαž…αž”αŸ’αžšαžΎαžšαž αžΌαžαžŠαž›αŸ‹ 30 terabytes αŸ”

DARPA αž”αžΆαž“αžŸαž˜αŸ’αžšαŸαž…αž…αž·αžαŸ’αžαž€αŸ‚αžαž˜αŸ’αžšαžΌαžœαžŸαŸ’αžαžΆαž“αž—αžΆαž– αž“αž·αž„αž–αŸ’αž™αžΆαž™αžΆαž˜αž’αŸ’αžœαžΎαžŸαŸ’αžαž„αŸ‹αžŠαžΆαžšαžŠαŸ†αžŽαžΎαžšαž€αžΆαžšαžšαž…αž“αžΆαŸ” αž“αŸ…αž‘αžΈαž—αŸ’αž“αžΆαž€αŸ‹αž„αžΆαžšαž•αž„αžŠαŸ‚αžšαŸ” αž–αž·αž…αžΆαžšαžŽαžΆαžαžΆαžœαž·αž’αžΈαžŸαžΆαžŸαŸ’αžšαŸ’αžαžŠαŸ‚αž›αž˜αžΆαž“αžŸαŸ’αžšαžΆαž”αŸ‹αžŸαž˜αŸ’αžšαžΆαž”αŸ‹αž”αž„αŸ’αž€αžΎαžαž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž”αž‚αžΊαž αž½αžŸαžŸαž˜αŸαž™αž αžΎαž™αŸ” αž’αž„αŸ’αž‚αž€αžΆαžš αž”αžΎαž€αžŠαŸ†αžŽαžΎαžšαž€αžΆαžš αž€αž˜αŸ’αž˜αžœαž·αž’αžΈαž”αŸ’αžšαžΆαŸ†αž†αŸ’αž“αžΆαŸ† OpenROADαžŠαŸ‚αž›αž˜αžΆαž“αž”αŸ†αžŽαž„αž’αž—αž·αžœαžŒαŸ’αžαž§αž”αž€αžšαžŽαŸαžαŸ’αž˜αžΈαžŠαžΎαž˜αŸ’αž”αžΈαž’αŸ’αžœαžΎαžŸαŸ’αžœαŸαž™αž”αŸ’αžšαžœαžαŸ’αžαž·αž€αž˜αŸ’αž˜αžŠαŸ†αžŽαžΎαžšαž€αžΆαžšαžšαž…αž“αžΆαž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž”αŸ”

αžαžΎαž€αž˜αŸ’αž˜αžœαž·αž’αžΈαž”αŸ’αžšαž—αŸαž‘αžŽαžΆ

αž€αž˜αŸ’αž˜αžœαž·αž’αžΈαž“αŸαŸ‡αž–αžΆαž€αŸ‹αž–αŸαž“αŸ’αž’αž“αžΉαž„αž‚αž˜αŸ’αžšαŸ„αž„αž‡αžΆαž…αŸ’αžšαžΎαž“αžŠαŸ‚αž›αž”αŸ’αžšαžΎαž€αžΆαžšαžšαŸ€αž“αž˜αŸ‰αžΆαžŸαŸŠαžΈαž“ αž“αž·αž„αž”αž…αŸ’αž…αŸαž€αžœαž·αž‘αŸ’αž™αžΆαž–αž–αž€ αžŠαžΎαž˜αŸ’αž”αžΈαž’αŸ’αžœαžΎαžŸαŸ’αžœαŸαž™αž”αŸ’αžšαžœαžαŸ’αžαž·αž€αž˜αŸ’αž˜αžŠαŸ†αžŽαžΆαž€αŸ‹αž€αžΆαž›αž“αžΈαž˜αž½αž™αŸ—αž“αŸƒαž€αžΆαžšαž”αž„αŸ’αž€αžΎαžαž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž”αŸ” αž‡αžΆαž•αŸ’αž“αŸ‚αž€αž˜αž½αž™αž“αŸƒαž‚αŸ†αž“αž·αžαž•αŸ’αžαž½αž…αž•αŸ’αžαžΎαž˜ αž€αŸ†αž–αž»αž„αžαŸ’αžšαžΌαžœαž”αžΆαž“αž’αž—αž·αžœαžŒαŸ’αž (αžŠαŸ’αž™αžΆαž€αŸ’αžšαžΆαž˜ 1) αž§αž”αž€αžšαžŽαŸαž…αŸ’αžšαžΎαž“αž‡αžΆαž„αžŠαž”αŸ‹αŸ” αž”αž“αŸ’αž‘αžΆαž”αŸ‹αž™αžΎαž„αž“αžΉαž„αž“αž·αž™αžΆαž™αž›αž˜αŸ’αž’αž·αžαž”αž“αŸ’αžαŸ‚αž˜αž‘αŸ€αžαž’αŸ†αž–αžΈαž–αž½αž€αž‚αŸαž˜αž½αž™αž…αŸ†αž“αž½αž“αŸ– Flow Runner, RePlAce, TritonCTS, OpenSTA αŸ”

αž’αŸ’αž“αž€αžšαžαŸ‹αž›αŸ†αž αžΌαžš αž‚αžΊαž‡αžΆαž§αž”αž€αžšαžŽαŸαžŸαž˜αŸ’αžšαžΆαž”αŸ‹αž‚αŸ’αžšαž”αŸ‹αž‚αŸ’αžšαž„αž”αžŽαŸ’αžŽαžΆαž›αŸαž™ RTL αž“αž·αž„ GDSII αŸ” αž€αŸ’αžšαŸ„αž™αž˜αž€αž‘αŸ€αžαž‚αžΊαž‡αžΆαž―αž€αžŸαžΆαžšαž˜αžΌαž›αžŠαŸ’αž‹αžΆαž“αž‘αž·αž“αŸ’αž“αž“αŸαž™αžŠαŸ‚αž›αž‡αžΆαžŸαŸ’αžαž„αŸ‹αžŠαžΆαžšαž§αžŸαŸ’αžŸαžΆαž αž€αž˜αŸ’αž˜αžŸαž˜αŸ’αžšαžΆαž”αŸ‹αž€αžΆαžšαž•αŸ’αž›αžΆαžŸαŸ‹αž”αŸ’αžαžΌαžšαž–αŸαžαŸŒαž˜αžΆαž“αž’αŸ†αž–αžΈαžŸαŸ€αž‚αŸ’αžœαžΈαžšαž½αž˜αž”αž‰αŸ’αž…αžΌαž›αž‚αŸ’αž“αžΆ αž“αž·αž„αž’αžΆαžαž»αžšαž”αžŸαŸ‹αžœαžΆαŸ” αžŠαŸ†αžŽαŸ„αŸ‡αžŸαŸ’αžšαžΆαž™αž‚αžΊαž•αŸ’αž’αŸ‚αž€αž›αžΎαž”αž…αŸ’αž…αŸαž€αžœαž·αž‘αŸ’αž™αžΆ Docker containerαŸ” αž’αŸ’αž“αž€αž’αžΆαž…αžŠαŸ†αžŽαžΎαžšαž€αžΆαžš Flow Runner αž‘αžΆαŸ†αž„αž€αŸ’αž“αž»αž„αž–αž–αž€ αž“αž·αž„αž€αŸ’αž“αž»αž„αž˜αžΌαž›αžŠαŸ’αž‹αžΆαž“αŸ” αž€αžΆαžšαžŽαŸ‚αž“αžΆαŸ†αž’αŸ†αž–αžΈαž€αžΆαžšαžŠαŸ†αž‘αžΎαž„αž‚αžΊαž“αŸ…αž€αŸ’αž“αž»αž„αžƒαŸ’αž›αžΆαŸ†αž„αž•αŸ’αž›αžΌαžœαž€αžΆαžš αž“αŸ…αž›αžΎ GitHub.

RePlAce αž‚αžΊαž‡αžΆαžŠαŸ†αžŽαŸ„αŸ‡αžŸαŸ’αžšαžΆαž™αž›αžΎαž–αž–αž€αžŠαŸ„αž™αž•αŸ’αž’αŸ‚αž€αž›αžΎαž€αžΆαžšαžšαŸ€αž“αž˜αŸ‰αžΆαžŸαŸŠαžΈαž“ αžŠαŸ‚αž›αž‘αž‘αž½αž›αžαž»αžŸαžαŸ’αžšαžΌαžœαž€αŸ’αž“αž»αž„αž€αžΆαžšαžŠαžΆαž€αŸ‹αžŸαž˜αžΆαžŸαž’αžΆαžαž»αž“αŸ…αž›αžΎαž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž” αž“αž·αž„αž€αŸ†αžŽαžαŸ‹αž•αŸ’αž›αžΌαžœαžŠαŸ„αž™αžŸαŸ’αžœαŸαž™αž”αŸ’αžšαžœαžαŸ’αžαž·αŸ” αžŠαŸ„αž™ αž‘αž·αž“αŸ’αž“αž“αŸαž™αž˜αž½αž™αž…αŸ†αž“αž½αž“αž€αŸ’αž”αž½αž“αžŠαŸ„αŸ‡αžŸαŸ’αžšαžΆαž™αž†αŸ’αž›αžΆαžαžœαŸƒαž”αž„αŸ’αž€αžΎαž“αž”αŸ’αžšαžŸαž·αž‘αŸ’αž’αž—αžΆαž–αž“αŸƒαž§αž”αž€αžšαžŽαŸαžŠαŸ„αž™ 2-10% αž”αžΎαž”αŸ’αžšαŸ€αž”αž’αŸ€αž”αž‘αŸ…αž“αžΉαž„αž”αŸ’αžšαž–αŸαž“αŸ’αž’αž”αž»αžšαžΆαžŽαŸ” αž›αžΎαžŸαž–αžΈαž“αŸαŸ‡αž‘αŸ€αž αž€αžΆαžšαž’αž“αž»αžœαžαŸ’αžαž“αŸ…αž€αŸ’αž“αž»αž„αž–αž–αž€αž’αŸ’αžœαžΎαž±αŸ’αž™αž€αžΆαžšαž’αŸ’αžœαžΎαž˜αžΆαžαŸ’αžšαžŠαŸ’αž‹αžΆαž“αž€αžΆαž“αŸ‹αžαŸ‚αž„αžΆαž™αžŸαŸ’αžšαž½αž›αŸ” αž€αžΆαžšαžŽαŸ‚αž“αžΆαŸ†αž’αŸ†αž–αžΈαž€αžΆαžšαžŠαŸ†αž‘αžΎαž„ αž“αž·αž„αž€αžΆαžšαž€αŸ†αžŽαžαŸ‹αžšαž…αž“αžΆαžŸαž˜αŸ’αž–αŸαž“αŸ’αž’αž€αŸαž˜αžΆαž“αž•αž„αžŠαŸ‚αžšαŸ” αž“αŸ…αž€αŸ’αž“αž»αž„αžƒαŸ’αž›αžΆαŸ†αž„.

TritonCTS - αž§αž”αž€αžšαžŽαŸαž”αŸ’αžšαžΎαž”αŸ’αžšαžΆαžŸαŸ‹αžŸαž˜αŸ’αžšαžΆαž”αŸ‹αž”αž„αŸ’αž€αžΎαž“αž”αŸ’αžšαžŸαž·αž‘αŸ’αž’αž—αžΆαž–αž“αžΆαž‘αž·αž€αžΆαž‡αžΈαž–αž…αžšαžŠαŸ‚αž›αž•αŸ’αž‚αžαŸ‹αž•αŸ’αž‚αž„αŸ‹αž‘αŸ…αž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž”αŸ” αž‡αž½αž™αž”αž‰αŸ’αž‡αžΌαž“αžŸαž‰αŸ’αž‰αžΆαž“αžΆαž‘αž·αž€αžΆαž‘αŸ…αž‚αŸ’αžšαž”αŸ‹αž•αŸ’αž“αŸ‚αž€αž‘αžΆαŸ†αž„αž’αžŸαŸ‹αž“αŸƒαž§αž”αž€αžšαžŽαŸαžŠαŸ„αž™αž˜αžΆαž“αž€αžΆαžšαž–αž“αŸ’αž™αžΆαžšαž–αŸαž›αžŠαžΌαž…αž‚αŸ’αž“αžΆαŸ” αž‚αŸ„αž›αž€αžΆαžšαžŽαŸαž”αŸ’αžšαžαž·αž”αžαŸ’αžαž·αž€αžΆαžšαž‚αžΊαž•αŸ’αž’αŸ‚αž€αž›αžΎ H-ដើមឈើ. αžœαž·αž’αžΈαžŸαžΆαžŸαŸ’αžšαŸ’αžαž“αŸαŸ‡αŸ” αž’αŸ’αžœαžΎαž±αŸ’αž™αž”αŸ’αžšαžŸαžΎαžšαž‘αžΎαž„ αž”αŸ’αžšαžŸαž·αž‘αŸ’αž’αž—αžΆαž–αž“αŸƒαž€αžΆαžšαž…αŸ‚αž€αž…αžΆαž™αžŸαž‰αŸ’αž‰αžΆ 30% αž”αžΎαž”αŸ’αžšαŸ€αž”αž’αŸ€αž”αž‘αŸ…αž“αžΉαž„αžœαž·αž’αžΈαžŸαžΆαžŸαŸ’αžαŸ’αžšαž”αŸ’αžšαž–αŸƒαžŽαžΈαŸ” αž’αŸ’αž“αž€αž’αž—αž·αžœαžŒαŸ’αžαž“αŸαž“αž·αž™αžΆαž™αžαžΆαž“αŸ…αž–αŸαž›αž’αž“αžΆαž‚αžαžαž½αž›αŸαžαž“αŸαŸ‡αž’αžΆαž…αž€αžΎαž“αž‘αžΎαž„αžŠαž›αŸ‹ 56% αŸ” αž€αžΌαžŠαž”αŸ’αžšαž—αž– TritonCTS αž“αž·αž„αžŸαŸ’αž‚αŸ’αžšαžΈαž”αž˜αžΆαž“ αž“αŸ…αž›αžΎ GitHub.

OpenSTA - αž˜αŸ‰αžΆαžŸαŸŠαžΈαž“αžŸαž˜αŸ’αžšαžΆαž”αŸ‹αž€αžΆαžšαžœαž·αž—αžΆαž‚αž–αŸαž›αžœαŸαž›αžΆαž‹αž·αžαž·αžœαž“αŸ’αžαŸ” αžœαžΆαž•αŸ’αžαž›αŸ‹αž±αŸ’αž™αž’αŸ’αž“αž€αžšαž…αž“αžΆαž“αžΌαžœαž±αž€αžΆαžŸαžŠαžΎαž˜αŸ’αž”αžΈαž–αž·αž“αž·αžαŸ’αž™αž˜αžΎαž›αž˜αž»αžαž„αžΆαžšαžšαž”αžŸαŸ‹αž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž” αž˜αž»αž“αž–αŸαž›αžœαžΆαžαŸ’αžšαžΌαžœαž”αžΆαž“αž•αŸ’αž‚αž»αŸ†αž–αž·αžαž”αŸ’αžšαžΆαž€αžŠαŸ” αž§αž‘αžΆαž αžšαžŽαŸαž€αžΌαžŠαž“αŸ…αž€αŸ’αž“αž»αž„ OpenSTA αž˜αžΎαž›αž‘αŸ… αžŠαžΌαž…αž“αŸαŸ‡αŸ”

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

αž§αž”αž€αžšαžŽαŸαž”αŸ’αžšαžΎαž”αŸ’αžšαžΆαžŸαŸ‹αž‚αžΆαŸ†αž‘αŸ’αžšαž€αžΆαžšαž–αž·αž–αžŽαŸŒαž“αžΆαž”αž‰αŸ’αž‡αžΈαžŸαž»αž‘αŸ’αž’αž“αŸƒαž€αžΌαžŠ Verilog αž”αžŽαŸ’αžŽαžΆαž›αŸαž™αž‘αž˜αŸ’αžšαž„αŸ‹ Liberty αž―αž€αžŸαžΆαžš SDC αž‡αžΆαžŠαžΎαž˜αŸ”

αž‚αž»αžŽαžŸαž˜αŸ’αž”αžαŸ’αžαž·αž“αž·αž„αž‚αž»αžŽαžœαž·αž”αžαŸ’αžαž·

αž’αŸ’αž“αž€αž‡αŸ†αž“αžΆαž‰αž˜αž€αž–αžΈ IBM αž“αž·αž„ IEEE αž’αž”αž’αžšαžαžΆαž”αž…αŸ’αž…αŸαž€αžœαž·αž‘αŸ’αž™αžΆ cloud αž“αž·αž„ machine learning αž‚αžΊαž αž½αžŸαž–αŸαž›αž™αžΌαžšαž αžΎαž™αžŸαž˜αŸ’αžšαžΆαž”αŸ‹αž”αŸ’αžšαžΎαž”αŸ’αžšαžΆαžŸαŸ‹αž€αŸ’αž“αž»αž„αž€αžΆαžšαž•αž›αž·αžαž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž”αŸ” αžαžΆαž˜αž‚αŸ†αž“αž·αžαžšαž”αžŸαŸ‹αž–αž½αž€αž‚αŸ αž‚αž˜αŸ’αžšαŸ„αž„ DARPA αž’αžΆαž…αž€αŸ’αž›αžΆαž™αž‡αžΆαž§αž‘αžΆαž αžšαžŽαŸαž‡αŸ„αž‚αž‡αŸαž™αž“αŸƒαž€αžΆαžšαž’αž“αž»αžœαžαŸ’αžαž‚αŸ†αž“αž·αžαž“αŸαŸ‡ αž“αž·αž„ αž“αžΉαž„αžŠαžΆαž€αŸ‹ αž€αžΆαžšαž…αžΆαž”αŸ‹αž•αŸ’αžαžΎαž˜αž“αŸƒαž€αžΆαžšαž•αŸ’αž›αžΆαžŸαŸ‹αž”αŸ’αžαžΌαžšαž“αŸ…αž€αŸ’αž“αž»αž„αž§αžŸαŸ’αžŸαžΆαž αž€αž˜αŸ’αž˜αŸ”

αžœαžΆαž€αŸαžαŸ’αžšαžΌαžœαž”αžΆαž“αž‚αŸαžšαŸ†αž–αžΉαž„αžαžΆ αž›αž€αŸ’αžαžŽαŸˆαž”αžΎαž€αž…αŸ†αž αž“αŸƒ OpenROAD αž“αžΉαž„αž”αž„αŸ’αž€αžΎαžαžŸαž αž‚αž˜αž“αŸαžŠαŸαž˜αžΆαž“αž₯αž‘αŸ’αž’αž·αž–αž›αž‡αž»αŸ†αžœαž·αž‰αž§αž”αž€αžšαžŽαŸ αž“αž·αž„αž‘αžΆαž€αŸ‹αž‘αžΆαž‰αž€αžΆαžšαž…αžΆαž”αŸ‹αž•αŸ’αžŠαžΎαž˜αž’αžΆαž‡αžΈαžœαž€αž˜αŸ’αž˜αžαŸ’αž˜αžΈαŸ”

αž€αžΆαžšαž–αž·αž—αžΆαž€αŸ’αžŸαžΆαŸ– αž‚αž˜αŸ’αžšαŸ„αž„ OpenROAD αž˜αžΆαž“αž”αŸ†αžŽαž„αžŠαŸ„αŸ‡αžŸαŸ’αžšαžΆαž™αž”αž‰αŸ’αž αžΆαžŸαŸ’αžœαŸαž™αž”αŸ’αžšαžœαžαŸ’αžαž·αž€αž˜αŸ’αž˜αž“αŸƒαž€αžΆαžšαžšαž…αž“αžΆαžαž½αžšαž€αŸ’αž”αžΆαž›
Ρ‚ΠΎΠΎΡ‚ΠΎ - Pexels - CC BY

αž˜αžΆαž“αž’αŸ’αž“αž€αž…αžΌαž›αžšαž½αž˜αžšαž½αž…αž αžΎαž™ - αž˜αž“αŸ’αž‘αžΈαžšαž–αž·αžŸαŸ„αž’αž“αŸαž’αž—αž·αžœαžŒαŸ’αžαž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž”αžŠαŸ‚αž›αž˜αžΆαž“αž˜αžΌαž›αžŠαŸ’αž‹αžΆαž“αž“αŸ…αžŸαžΆαž€αž›αžœαž·αž‘αŸ’αž™αžΆαž›αŸαž™ Michigan αŸ” αž“αžΉαž„αž‡αžΆαž›αžΎαž€αžŠαŸ†αž”αžΌαž„αžŠαŸ‚αž›αž“αžΉαž„αžŸαžΆαž€αž›αŸ’αž”αž„αž§αž”αž€αžšαžŽαŸαž”αŸ’αžšαž—αž–αž”αžΎαž€αž…αŸ†αž  OpenROAD αŸ” αž”αŸ‰αž»αž“αŸ’αžαŸ‚αžœαžΆαž˜αž·αž“αž‘αžΆαž“αŸ‹αžŠαžΉαž„αžαžΆαžαžΎαžŠαŸ†αžŽαŸ„αŸ‡αžŸαŸ’αžšαžΆαž™αžαŸ’αž˜αžΈαž“αžΉαž„αž’αžΆαž…αž˜αžΆαž“αž₯αž‘αŸ’αž’αž·αž–αž›αž‚αž½αžšαž±αŸ’αž™αž€αžαŸ‹αžŸαž˜αŸ’αž‚αžΆαž›αŸ‹αž›αžΎαžαž˜αŸ’αž›αŸƒαž“αŸƒαž•αž›αž·αžαž•αž›αž…αž»αž„αž€αŸ’αžšαŸ„αž™αž“αŸ„αŸ‡αž‘αŸαŸ”

αžŸαžšαž»αž”αž˜αž€ αž§αž”αž€αžšαžŽαŸαžŠαŸ‚αž›αž€αŸ†αž–αž»αž„αžαŸ’αžšαžΌαžœαž”αžΆαž“αž”αž„αŸ’αž€αžΎαžαž‘αžΎαž„αž€αŸ’αžšαŸ„αž˜αž€αžΆαžšαžŠαžΉαž€αž“αžΆαŸ†αžšαž”αžŸαŸ‹ DARPA αžαŸ’αžšαžΌαžœαž”αžΆαž“αž‚αŸαžšαŸ†αž–αžΉαž„αžαžΆαž“αžΉαž„αž˜αžΆαž“αž₯αž‘αŸ’αž’αž·αž–αž›αžœαž·αž‡αŸ’αž‡αž˜αžΆαž“αž›αžΎαž§αžŸαŸ’αžŸαžΆαž αž€αž˜αŸ’αž˜αžŠαŸ†αžŽαžΎαžšαž€αžΆαžš αž αžΎαž™αž‚αž˜αŸ’αžšαŸ„αž„αžαŸ’αž˜αžΈαŸ—αž‡αžΆαž…αŸ’αžšαžΎαž“αž‘αŸ€αžαž“αžΉαž„αž…αžΆαž”αŸ‹αž•αŸ’αžαžΎαž˜αž›αŸαž…αž‘αžΎαž„αž“αŸ…αž€αŸ’αž“αž»αž„αžαŸ†αž”αž“αŸ‹αž“αŸαŸ‡αŸ” αž§αž‘αžΆαž αžšαžŽαŸαž˜αž½αž™αž“αžΉαž„αž‡αžΆαž§αž”αž€αžšαžŽαŸαž˜αž½αž™αŸ” αž αŸ’αž‚αŸαžŠαžΆ - αžœαžΆαž’αž“αž»αž‰αŸ’αž‰αžΆαžαž±αŸ’αž™αž’αŸ’αž“αž€αžšαž…αž“αžΆαž”αž“αŸ’αž‘αŸ‡αžŸαŸ€αž‚αŸ’αžœαžΈαž‡αžΆαž˜αž½αž™αž“αžΉαž„αž…αŸ†αž“αž½αž“αžŸαž˜αžΆαžŸαž—αžΆαž‚αž‚αŸ’αž˜αžΆαž“αžŠαŸ‚αž“αž€αŸ†αžŽαžαŸ‹αŸ” gEDA αžšαž½αž˜αž”αž‰αŸ’αž…αžΌαž›αž§αž”αž€αžšαžŽαŸαž”αŸ’αžšαžΎαž”αŸ’αžšαžΆαžŸαŸ‹αžŸαž˜αŸ’αžšαžΆαž”αŸ‹αž€αŸ‚αžŸαž˜αŸ’αžšαž½αž› αž“αž·αž„αž€αŸ’αž›αŸ‚αž„αž’αŸ’αžœαžΎ microcircuits αž“αž·αž„ routing boards αŸ” αžŠαŸ†αžŽαŸ„αŸ‡αžŸαŸ’αžšαžΆαž™αžαŸ’αžšαžΌαžœαž”αžΆαž“αž”αž„αŸ’αž€αžΎαžαž‘αžΎαž„αžŸαž˜αŸ’αžšαžΆαž”αŸ‹αžœαŸαž‘αž·αž€αžΆ UNIX αž”αŸ‰αž»αž“αŸ’αžαŸ‚αžŸαž˜αžΆαžŸαž’αžΆαžαž»αž˜αž½αž™αž…αŸ†αž“αž½αž“αžšαž”αžŸαŸ‹αžœαžΆαž€αŸαžŠαŸ†αžŽαžΎαžšαž€αžΆαžšαž“αŸ…αž€αŸ’αžšαŸ„αž˜ Windows αž•αž„αžŠαŸ‚αžšαŸ” αž€αžΆαžšαžŽαŸ‚αž“αžΆαŸ†αžŸαž˜αŸ’αžšαžΆαž”αŸ‹αž’αŸ’αžœαžΎαž€αžΆαžšαž‡αžΆαž˜αž½αž™αž–αž½αž€αž‚αŸαž’αžΆαž…αžšαž€αž”αžΆαž“ αž“αŸ…αž€αŸ’αž“αž»αž„αž―αž€αžŸαžΆαžšαž“αŸ…αž›αžΎαž‚αŸαž αž‘αŸ†αž–αŸαžšαž‚αž˜αŸ’αžšαŸ„αž„.

αž§αž”αž€αžšαžŽαŸαžŠαŸ‚αž›αž’αžΆαž…αž”αŸ’αžšαžΎαž”αžΆαž“αžŠαŸ„αž™αžŸαŸαžšαžΈαž•αŸ’αžαž›αŸ‹αž±αŸ’αž™αž’αž„αŸ’αž‚αž€αžΆαžšαž―αž€αžšαžΆαž‡αŸ’αž™ αž“αž·αž„αž€αžΆαžšαž…αžΆαž”αŸ‹αž•αŸ’αžŠαžΎαž˜αž’αžΆαž‡αžΈαžœαž€αž˜αŸ’αž˜αž‡αž˜αŸ’αžšαžΎαžŸαž€αžΆαž“αŸ‹αžαŸ‚αž…αŸ’αžšαžΎαž“αŸ” αžœαžΆαž’αžΆαž…αž‘αŸ…αžšαž½αž…αžŠαŸ‚αž›αžαžΆαž™αžΌαžš αŸ— αž‘αŸ…αžœαž·αž’αžΈαžŸαžΆαžŸαŸ’αžšαŸ’αžαžαŸ’αž˜αžΈαžšαž”αžŸαŸ‹ OpenROAD αž…αŸ†αž–αŸ„αŸ‡αž€αžΆαžšαž’αž—αž·αžœαžŒαŸ’αžαž“αŸαž§αž”αž€αžšαžŽαŸ EDA αž“αž·αž„αž€αžΆαžšαžšαž…αž“αžΆαž”αž“αŸ’αž‘αŸ‡αžˆαžΈαž”αž’αžΆαž…αž€αŸ’αž›αžΆαž™αž‡αžΆαžŸαŸ’αžαž„αŸ‹αžŠαžΆαžšαž§αžŸαŸ’αžŸαžΆαž αž€αž˜αŸ’αž˜αŸ”

αž’αŸ’αžœαžΈβ€‹αžŠαŸ‚αž›β€‹αž™αžΎαž„β€‹αžŸαžšαžŸαŸαžšβ€‹αž’αŸ†αž–αžΈβ€‹αž€αŸ’αž“αž»αž„β€‹αž”αŸ’αž›αž»αž€β€‹αžŸαžΆαž‡αžΈαžœαž€αž˜αŸ’αž˜β€‹αžšαž”αžŸαŸ‹β€‹αž™αžΎαž„αŸ–

αž”αŸ’αžšαž—αž–: www.habr.com

αž”αž“αŸ’αžαŸ‚αž˜αž˜αžαž·αž™αŸ„αž”αž›αŸ‹