ಚರ್ಚೆ: OpenROAD ಯೋಜನೆಯು ಪ್ರೊಸೆಸರ್ ವಿನ್ಯಾಸದ ಯಾಂತ್ರೀಕೃತಗೊಂಡ ಸಮಸ್ಯೆಯನ್ನು ಪರಿಹರಿಸಲು ಉದ್ದೇಶಿಸಿದೆ

ಚರ್ಚೆ: OpenROAD ಯೋಜನೆಯು ಪ್ರೊಸೆಸರ್ ವಿನ್ಯಾಸದ ಯಾಂತ್ರೀಕೃತಗೊಂಡ ಸಮಸ್ಯೆಯನ್ನು ಪರಿಹರಿಸಲು ಉದ್ದೇಶಿಸಿದೆ
- ಪೆಕ್ಸೆಲ್ಗಳು - CC BY

ಬೈ ನೀಡಲಾಗಿದೆ PWC, ಸೆಮಿಕಂಡಕ್ಟರ್ ತಂತ್ರಜ್ಞಾನ ಮಾರುಕಟ್ಟೆ ಬೆಳೆಯುತ್ತಿದೆ - ಕಳೆದ ವರ್ಷ ಇದು $ 481 ಶತಕೋಟಿ ತಲುಪಿತು. ಆದರೆ ಇತ್ತೀಚೆಗೆ ಅದರ ಬೆಳವಣಿಗೆಯ ದರ ಕಡಿಮೆಯಾಗಿದೆ. ಅವನತಿಗೆ ಕಾರಣಗಳು ಗೊಂದಲಮಯ ಸಾಧನ ವಿನ್ಯಾಸ ಪ್ರಕ್ರಿಯೆಗಳು ಮತ್ತು ಯಾಂತ್ರೀಕೃತಗೊಂಡ ಕೊರತೆ.

ಕೆಲವು ವರ್ಷಗಳ ಹಿಂದೆ, ಇಂಟೆಲ್‌ನ ಎಂಜಿನಿಯರ್‌ಗಳು ಬರೆದರುಉನ್ನತ-ಕಾರ್ಯಕ್ಷಮತೆಯ ಮೈಕ್ರೊಪ್ರೊಸೆಸರ್ ಅನ್ನು ರಚಿಸುವಾಗ ನೀವು 100-150 ಪ್ರತ್ಯೇಕ ಸಾಫ್ಟ್‌ವೇರ್ ಪರಿಕರಗಳನ್ನು ಬಳಸಬೇಕಾಗುತ್ತದೆ (ಇಡಿಎಗಳಿಗೆ) ವೈವಿಧ್ಯಮಯ ಸಾಧನಗಳ ಸಂದರ್ಭದಲ್ಲಿ ಪರಿಸ್ಥಿತಿಯನ್ನು ಉಲ್ಬಣಗೊಳಿಸಬಹುದು, ಅದರ ವಾಸ್ತುಶಿಲ್ಪವು ಹಲವಾರು ರೀತಿಯ ಚಿಪ್‌ಗಳನ್ನು ಒಳಗೊಂಡಿದೆ - ASIC, FPGA, CPU ಅಥವಾ GPU. ಪರಿಣಾಮವಾಗಿ, ಉತ್ಪನ್ನಗಳ ಬಿಡುಗಡೆಯನ್ನು ವಿಳಂಬಗೊಳಿಸುವ ವಿನ್ಯಾಸ ದೋಷಗಳು ಸಂಭವಿಸುತ್ತವೆ.

ಹೆಚ್ಚಿನ ಸಂಖ್ಯೆಯ ಸಹಾಯಕ ಸಾಧನಗಳ ಹೊರತಾಗಿಯೂ, ಎಂಜಿನಿಯರ್‌ಗಳು ಇನ್ನೂ ಕೆಲವು ಕೆಲಸವನ್ನು ಕೈಯಾರೆ ಮಾಡಲು ಒತ್ತಾಯಿಸಲಾಗುತ್ತದೆ. ಪುಸ್ತಕದ ಲೇಖಕರು "ಸುಧಾರಿತ ಲಾಜಿಕ್ ಸಿಂಥೆಸಿಸ್"ಅವರು ಕೆಲವೊಮ್ಮೆ ವಿನ್ಯಾಸಕರು ಎಂದು ಹೇಳುತ್ತಾರೆ ಮಾಡಬೇಕು ಲೈಬ್ರರಿಗಳನ್ನು ರಚಿಸಲು ಎರಡು ಮಿಲಿಯನ್ ಸಾಲುಗಳ ಸ್ಕಿಲ್ ಅಥವಾ ಪೈಥಾನ್‌ನಲ್ಲಿ ಸ್ಕ್ರಿಪ್ಟ್‌ಗಳನ್ನು ಬರೆಯಿರಿ ಜೀವಕೋಶಗಳು.

EDA ವ್ಯವಸ್ಥೆಗಳಿಂದ ಉತ್ಪತ್ತಿಯಾಗುವ ವರದಿಗಳನ್ನು ಪಾರ್ಸ್ ಮಾಡಲು ಸ್ಕ್ರಿಪ್ಟ್‌ಗಳನ್ನು ಸಹ ಬರೆಯಲಾಗುತ್ತದೆ. 22nm ಪ್ರಕ್ರಿಯೆ ತಂತ್ರಜ್ಞಾನವನ್ನು ಬಳಸಿಕೊಂಡು ಚಿಪ್ ಅನ್ನು ಅಭಿವೃದ್ಧಿಪಡಿಸುವಾಗ, ಈ ವರದಿಗಳು 30 ಟೆರಾಬೈಟ್‌ಗಳವರೆಗೆ ತೆಗೆದುಕೊಳ್ಳಬಹುದು.

DARPA ಪರಿಸ್ಥಿತಿಯನ್ನು ಸರಿಪಡಿಸಲು ಮತ್ತು ವಿನ್ಯಾಸ ಪ್ರಕ್ರಿಯೆಗಳನ್ನು ಪ್ರಮಾಣೀಕರಿಸಲು ಪ್ರಯತ್ನಿಸಲು ನಿರ್ಧರಿಸಿತು. ಏಜೆನ್ಸಿಯಲ್ಲೂ ಪರಿಗಣಿಸಿಚಿಪ್ಸ್ ರಚಿಸಲು ಅಸ್ತಿತ್ವದಲ್ಲಿರುವ ವಿಧಾನಗಳು ಹಳೆಯದಾಗಿವೆ. ಸಂಸ್ಥೆ ಪ್ರಾರಂಭಿಸಲಾಗಿದೆ ಐದು ವರ್ಷಗಳ ಕಾರ್ಯಕ್ರಮ ತೆರೆದ ರಸ್ತೆ, ಇದು ಚಿಪ್ ವಿನ್ಯಾಸ ಪ್ರಕ್ರಿಯೆಗಳನ್ನು ಸ್ವಯಂಚಾಲಿತಗೊಳಿಸಲು ಹೊಸ ಸಾಧನಗಳನ್ನು ಅಭಿವೃದ್ಧಿಪಡಿಸುವ ಗುರಿಯನ್ನು ಹೊಂದಿದೆ.

ಯಾವ ರೀತಿಯ ಕಾರ್ಯಕ್ರಮ

ಚಿಪ್ ರಚನೆಯ ಪ್ರತ್ಯೇಕ ಹಂತಗಳನ್ನು ಸ್ವಯಂಚಾಲಿತಗೊಳಿಸಲು ಯಂತ್ರ ಕಲಿಕೆ ಮತ್ತು ಕ್ಲೌಡ್ ತಂತ್ರಜ್ಞಾನಗಳನ್ನು ಬಳಸುವ ಹಲವಾರು ಯೋಜನೆಗಳನ್ನು ಪ್ರೋಗ್ರಾಂ ಒಳಗೊಂಡಿರುತ್ತದೆ. ಉಪಕ್ರಮದ ಭಾಗವಾಗಿ ಅಭಿವೃದ್ಧಿಪಡಿಸಲಾಗುತ್ತಿದೆ (ರೇಖಾಚಿತ್ರ 1) ಹತ್ತಕ್ಕೂ ಹೆಚ್ಚು ಉಪಕರಣಗಳು. ಮುಂದೆ ನಾವು ಅವುಗಳಲ್ಲಿ ಕೆಲವು ಬಗ್ಗೆ ಹೆಚ್ಚು ವಿವರವಾಗಿ ಮಾತನಾಡುತ್ತೇವೆ: ಫ್ಲೋ ರನ್ನರ್, ರಿಪ್ಲೇಸ್, ಟ್ರೈಟಾನ್‌ಸಿಟಿಎಸ್, ಓಪನ್‌ಎಸ್‌ಟಿಎ.

ಫ್ಲೋ ರನ್ನರ್ RTL ಮತ್ತು GDSII ಲೈಬ್ರರಿಗಳನ್ನು ನಿರ್ವಹಿಸುವ ಸಾಧನವಾಗಿದೆ. ಎರಡನೆಯದು ಡೇಟಾಬೇಸ್ ಫೈಲ್‌ಗಳಾಗಿದ್ದು, ಇಂಟಿಗ್ರೇಟೆಡ್ ಸರ್ಕ್ಯೂಟ್‌ಗಳು ಮತ್ತು ಅವುಗಳ ಟೋಪೋಲಜಿಗಳ ಬಗ್ಗೆ ಮಾಹಿತಿಯನ್ನು ವಿನಿಮಯ ಮಾಡಿಕೊಳ್ಳಲು ಉದ್ಯಮದ ಮಾನದಂಡವಾಗಿದೆ. ಪರಿಹಾರವು ಡಾಕರ್ ಕಂಟೈನರ್ ತಂತ್ರಜ್ಞಾನವನ್ನು ಆಧರಿಸಿದೆ. ನೀವು ಫ್ಲೋ ರನ್ನರ್ ಅನ್ನು ಕ್ಲೌಡ್‌ನಲ್ಲಿ ಮತ್ತು ಸ್ಥಳೀಯವಾಗಿ ಚಲಾಯಿಸಬಹುದು. ಅನುಸ್ಥಾಪನ ಮಾರ್ಗದರ್ಶಿ ಅಧಿಕೃತ ರೆಪೊಸಿಟರಿಯಲ್ಲಿದೆ GitHub ನಲ್ಲಿ.

ರಿಪ್ಲೇಸ್ ಯಂತ್ರ ಕಲಿಕೆಯ ಆಧಾರದ ಮೇಲೆ ಕ್ಲೌಡ್ ಪರಿಹಾರವಾಗಿದೆ, ಇದು ಚಿಪ್‌ನಲ್ಲಿ ಘಟಕಗಳನ್ನು ಇರಿಸಲು ಮತ್ತು ರೂಟಿಂಗ್ ಅನ್ನು ಸ್ವಯಂಚಾಲಿತಗೊಳಿಸಲು ಕಾರಣವಾಗಿದೆ. ಮೂಲಕ ಕೆಲವು ಡೇಟಾ, ಕ್ಲಾಸಿಕಲ್ ಸಿಸ್ಟಮ್‌ಗಳಿಗೆ ಹೋಲಿಸಿದರೆ ಬುದ್ಧಿವಂತ ಕ್ರಮಾವಳಿಗಳು ಉಪಕರಣದ ದಕ್ಷತೆಯನ್ನು 2-10% ಹೆಚ್ಚಿಸುತ್ತವೆ. ಜೊತೆಗೆ, ಕ್ಲೌಡ್‌ನಲ್ಲಿನ ಅನುಷ್ಠಾನವು ಸ್ಕೇಲಿಂಗ್ ಅನ್ನು ಸುಲಭಗೊಳಿಸುತ್ತದೆ. ಅನುಸ್ಥಾಪನೆ ಮತ್ತು ಸಂರಚನಾ ಮಾರ್ಗದರ್ಶಿ ಸಹ ಲಭ್ಯವಿದೆ ಭಂಡಾರದಲ್ಲಿ.

ಟ್ರೈಟಾನ್ ಸಿಟಿಎಸ್ - ಚಿಪ್‌ಗೆ ಸರಬರಾಜು ಮಾಡಲಾದ ಗಡಿಯಾರ ದ್ವಿದಳ ಧಾನ್ಯಗಳನ್ನು ಉತ್ತಮಗೊಳಿಸುವ ಉಪಯುಕ್ತತೆ. ಒಂದೇ ರೀತಿಯ ವಿಳಂಬಗಳೊಂದಿಗೆ ಸಾಧನದ ಎಲ್ಲಾ ಭಾಗಗಳಿಗೆ ಗಡಿಯಾರ ಸಂಕೇತಗಳನ್ನು ರವಾನಿಸಲು ಸಹಾಯ ಮಾಡುತ್ತದೆ. ಕಾರ್ಯಾಚರಣೆಯ ತತ್ವವನ್ನು ಆಧರಿಸಿದೆ ಎಚ್-ಮರಗಳು. ಈ ವಿಧಾನ ಹೆಚ್ಚಿಸುತ್ತದೆ ಸಾಂಪ್ರದಾಯಿಕ ವಿಧಾನಗಳಿಗೆ ಹೋಲಿಸಿದರೆ 30% ರಷ್ಟು ಸಿಗ್ನಲ್ ವಿತರಣೆ ದಕ್ಷತೆ. ಭವಿಷ್ಯದಲ್ಲಿ ಈ ಅಂಕಿಅಂಶವನ್ನು 56% ಕ್ಕೆ ಹೆಚ್ಚಿಸಬಹುದು ಎಂದು ಅಭಿವರ್ಧಕರು ಹೇಳುತ್ತಾರೆ. TritonCTS ಮೂಲ ಕೋಡ್ ಮತ್ತು ಸ್ಕ್ರಿಪ್ಟ್‌ಗಳು ಲಭ್ಯವಿದೆ GitHub ನಲ್ಲಿ.

ಓಪನ್‌ಎಸ್‌ಟಿಎ - ಸ್ಥಿರ ಸಮಯ ವಿಶ್ಲೇಷಣೆಗಾಗಿ ಎಂಜಿನ್. ಚಿಪ್ ಅನ್ನು ನಿಜವಾಗಿ ಜೋಡಿಸುವ ಮೊದಲು ಅದರ ಕಾರ್ಯವನ್ನು ಪರಿಶೀಲಿಸಲು ಇದು ವಿನ್ಯಾಸಕರಿಗೆ ಅವಕಾಶವನ್ನು ನೀಡುತ್ತದೆ. OpenSTA ನಲ್ಲಿ ಉದಾಹರಣೆ ಕೋಡ್ ತೋರುತ್ತಿದೆ ಹೀಗೆ.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

ಉಪಯುಕ್ತತೆಯು ವೆರಿಲಾಗ್ ಕೋಡ್, ಲಿಬರ್ಟಿ ಫಾರ್ಮ್ಯಾಟ್ ಲೈಬ್ರರಿಗಳು, SDC ಫೈಲ್‌ಗಳು ಇತ್ಯಾದಿಗಳ ನೆಟ್‌ಲಿಸ್ಟ್ ವಿವರಣೆಗಳನ್ನು ಬೆಂಬಲಿಸುತ್ತದೆ.

ಪ್ರಯೋಜನಗಳು ಮತ್ತು ಅನಾನುಕೂಲಗಳು

IBM ಮತ್ತು IEEE ಯ ತಜ್ಞರು ಆಚರಿಸಿಕ್ಲೌಡ್ ತಂತ್ರಜ್ಞಾನಗಳು ಮತ್ತು ಯಂತ್ರ ಕಲಿಕೆಯು ಚಿಪ್ ಉತ್ಪಾದನೆಯಲ್ಲಿ ಬಳಸಲು ಬಹಳ ವಿಳಂಬವಾಗಿದೆ. ಅವರ ಅಭಿಪ್ರಾಯದಲ್ಲಿ, DARPA ಯೋಜನೆಯು ಈ ಕಲ್ಪನೆಯ ಅನುಷ್ಠಾನಕ್ಕೆ ಯಶಸ್ವಿ ಉದಾಹರಣೆಯಾಗಬಹುದು ಮತ್ತು ಹಾಕುತ್ತಾರೆ ಉದ್ಯಮದಲ್ಲಿ ಬದಲಾವಣೆಗಳ ಪ್ರಾರಂಭ.

ಓಪನ್‌ರೋಡ್‌ನ ಮುಕ್ತ ಸ್ವಭಾವವು ಪರಿಕರಗಳ ಸುತ್ತ ಪ್ರಬಲ ಸಮುದಾಯವನ್ನು ಸೃಷ್ಟಿಸುತ್ತದೆ ಮತ್ತು ಹೊಸ ಸ್ಟಾರ್ಟ್‌ಅಪ್‌ಗಳನ್ನು ಆಕರ್ಷಿಸುತ್ತದೆ ಎಂದು ನಿರೀಕ್ಷಿಸಲಾಗಿದೆ.

ಚರ್ಚೆ: OpenROAD ಯೋಜನೆಯು ಪ್ರೊಸೆಸರ್ ವಿನ್ಯಾಸದ ಯಾಂತ್ರೀಕೃತಗೊಂಡ ಸಮಸ್ಯೆಯನ್ನು ಪರಿಹರಿಸಲು ಉದ್ದೇಶಿಸಿದೆ
- ಪೆಕ್ಸೆಲ್ಗಳು - CC BY

ಈಗಾಗಲೇ ಭಾಗವಹಿಸುವವರು ಇದ್ದಾರೆ - ಮಿಚಿಗನ್ ವಿಶ್ವವಿದ್ಯಾಲಯದಲ್ಲಿ ಚಿಪ್‌ಗಳನ್ನು ಅಭಿವೃದ್ಧಿಪಡಿಸುವ ಪ್ರಯೋಗಾಲಯ, ಮೊದಲನೆಯವರಾಗಿರುತ್ತಾರೆ, ಯಾರು OpenROAD ಓಪನ್ ಸೋರ್ಸ್ ಪರಿಕರಗಳನ್ನು ಪರೀಕ್ಷಿಸುತ್ತಾರೆ. ಆದರೆ ಅಂತಿಮ ಉತ್ಪನ್ನಗಳ ಬೆಲೆಯ ಮೇಲೆ ಹೊಸ ಪರಿಹಾರಗಳು ಗಮನಾರ್ಹ ಪರಿಣಾಮವನ್ನು ಬೀರುತ್ತವೆಯೇ ಎಂಬುದು ಇನ್ನೂ ತಿಳಿದಿಲ್ಲ.

ಒಟ್ಟಾರೆಯಾಗಿ, DARPA ಯ ನಾಯಕತ್ವದಲ್ಲಿ ಅಭಿವೃದ್ಧಿಪಡಿಸಲಾದ ಪರಿಕರಗಳು ಪ್ರೊಸೆಸರ್ ಉದ್ಯಮದ ಮೇಲೆ ಸಕಾರಾತ್ಮಕ ಪರಿಣಾಮ ಬೀರುವ ನಿರೀಕ್ಷೆಯಿದೆ ಮತ್ತು ಈ ಪ್ರದೇಶದಲ್ಲಿ ಹೆಚ್ಚಿನ ಹೊಸ ಯೋಜನೆಗಳು ಹೊರಹೊಮ್ಮಲು ಪ್ರಾರಂಭಿಸುತ್ತವೆ. ಒಂದು ಉದಾಹರಣೆಯು ಒಂದು ಸಾಧನವಾಗಿರುತ್ತದೆ ಜಿಇಡಿಎ - ಇದು ಅನಿಯಮಿತ ಸಂಖ್ಯೆಯ ಘಟಕಗಳೊಂದಿಗೆ ಚಿಪ್‌ಗಳನ್ನು ವಿನ್ಯಾಸಗೊಳಿಸಲು ನಿಮಗೆ ಅನುಮತಿಸುತ್ತದೆ. gEDA ಮೈಕ್ರೋ ಸರ್ಕ್ಯೂಟ್‌ಗಳು ಮತ್ತು ಬೋರ್ಡ್ ರೂಟಿಂಗ್ ಅನ್ನು ಸಂಪಾದಿಸಲು ಮತ್ತು ಮಾಡೆಲಿಂಗ್ ಮಾಡಲು ಉಪಯುಕ್ತತೆಗಳನ್ನು ಒಳಗೊಂಡಿದೆ. ಪರಿಹಾರವನ್ನು UNIX ಪ್ಲಾಟ್‌ಫಾರ್ಮ್‌ಗಳಿಗಾಗಿ ಅಭಿವೃದ್ಧಿಪಡಿಸಲಾಗಿದೆ, ಆದರೆ ಅದರ ಹಲವಾರು ಘಟಕಗಳು ವಿಂಡೋಸ್ ಅಡಿಯಲ್ಲಿ ಕಾರ್ಯನಿರ್ವಹಿಸುತ್ತವೆ. ಅವರೊಂದಿಗೆ ಕೆಲಸ ಮಾಡಲು ಮಾರ್ಗದರ್ಶಿಯನ್ನು ಕಾಣಬಹುದು ಯೋಜನೆಯ ವೆಬ್‌ಸೈಟ್‌ನಲ್ಲಿನ ದಾಖಲಾತಿಯಲ್ಲಿ.

ಉಚಿತವಾಗಿ ಲಭ್ಯವಿರುವ ಉಪಕರಣಗಳು ಸ್ವತಂತ್ರ ಸಂಸ್ಥೆಗಳು ಮತ್ತು ಸ್ಟಾರ್ಟ್‌ಅಪ್‌ಗಳಿಗೆ ಹೆಚ್ಚಿನ ಆಯ್ಕೆಗಳನ್ನು ನೀಡುತ್ತವೆ. ಕಾಲಾನಂತರದಲ್ಲಿ, EDA ಉಪಕರಣ ಅಭಿವೃದ್ಧಿ ಮತ್ತು ಚಿಪ್ ವಿನ್ಯಾಸಕ್ಕೆ OpenROAD ನ ಹೊಸ ವಿಧಾನಗಳು ಉದ್ಯಮದ ಮಾನದಂಡವಾಗಿ ಪರಿಣಮಿಸಬಹುದು.

ನಮ್ಮ ಕಾರ್ಪೊರೇಟ್ ಬ್ಲಾಗ್‌ನಲ್ಲಿ ನಾವು ಏನು ಬರೆಯುತ್ತೇವೆ:

ಮೂಲ: www.habr.com

ಕಾಮೆಂಟ್ ಅನ್ನು ಸೇರಿಸಿ