์ฌ์ง โ
์
๋ช ๋
์ , ์ธํ
์ ์์ง๋์ด๋ค์ด
์๋ง์ ๋ณด์กฐ ๋๊ตฌ์๋ ๋ถ๊ตฌํ๊ณ ์์ง๋์ด๋ ์ฌ์ ํ ์ผ๋ถ ์์ ์ ์๋์ผ๋ก ์ํํด์ผ ํฉ๋๋ค. ์ฑ ์ ์ ์ "
๊ณ ๊ธ ๋ ผ๋ฆฌ ํฉ์ฑ "๊ทธ๋ค์ ๋๋๋ก ๋์์ด๋๋ค์ด~ํด์ผํ๋ค. Skill ๋๋ Python์ผ๋ก 200๋ง ์ค์ ์คํฌ๋ฆฝํธ๋ฅผ ์์ฑํ์ฌ ๋ผ์ด๋ธ๋ฌ๋ฆฌ๋ฅผ ๋ง๋ญ๋๋ค.์ธํฌ .EDA ์์คํ ์์ ์์ฑ๋ ๋ณด๊ณ ์๋ฅผ ๊ตฌ๋ฌธ ๋ถ์ํ๊ธฐ ์ํ ์คํฌ๋ฆฝํธ๋ ์์ฑ๋ฉ๋๋ค. 22nm ๊ณต์ ๊ธฐ์ ์ ์ฌ์ฉํ์ฌ ์นฉ์ ๊ฐ๋ฐํ ๋ ์ด๋ฌํ ๋ณด๊ณ ์๋ ์ต๋ 30TB๊ฐ ํ์ํ ์ ์์ต๋๋ค.
DARPA๋ ์ํฉ์ ์์ ํ๊ณ ์ค๊ณ ํ๋ก์ธ์ค๋ฅผ ํ์คํํ๊ธฐ๋ก ๊ฒฐ์ ํ์ต๋๋ค. ์์์ฌ์์๋
์ด๋ค ์ข ๋ฅ์ ํ๋ก๊ทธ๋จ์ธ๊ฐ?
์ด ํ๋ก๊ทธ๋จ์๋ ๊ธฐ๊ณ ํ์ต๊ณผ ํด๋ผ์ฐ๋ ๊ธฐ์ ์ ์ฌ์ฉํ์ฌ ์นฉ ์์ฑ์ ๊ฐ๋ณ ๋จ๊ณ๋ฅผ ์๋ํํ๋ ์ฌ๋ฌ ํ๋ก์ ํธ๊ฐ ํฌํจ๋ฉ๋๋ค. ์ด๋์
ํฐ๋ธ์ ์ผํ์ผ๋ก
ํ๋ฆ ๋ฌ๋ RTL ๋ฐ GDSII ๋ผ์ด๋ธ๋ฌ๋ฆฌ๋ฅผ ๊ด๋ฆฌํ๋ ๋๊ตฌ์
๋๋ค. ํ์๋ ์ง์ ํ๋ก ๋ฐ ํด๋น ํ ํด๋ก์ง์ ๋ํ ์ ๋ณด๋ฅผ ๊ตํํ๊ธฐ ์ํ ์
๊ณ ํ์ค์ธ ๋ฐ์ดํฐ๋ฒ ์ด์ค ํ์ผ์
๋๋ค. ์ด ์๋ฃจ์
์ Docker ์ปจํ
์ด๋ ๊ธฐ์ ์ ๊ธฐ๋ฐ์ผ๋ก ํฉ๋๋ค. ํด๋ผ์ฐ๋์ ๋ก์ปฌ ๋ชจ๋์์ Flow Runner๋ฅผ ์คํํ ์ ์์ต๋๋ค. ์ค์น ๊ฐ์ด๋๋ ๊ณต์ ์ ์ฅ์์ ์์ต๋๋ค.
๋ฐ๊พธ๋ค ์นฉ์ ๊ตฌ์ฑ ์์๋ฅผ ๋ฐฐ์นํ๊ณ ๋ผ์ฐํ
์ ์๋ํํ๋ ๊ธฐ๊ณ ํ์ต ๊ธฐ๋ฐ์ ํด๋ผ์ฐ๋ ์๋ฃจ์
์
๋๋ค. ์ ์ํด
ํธ๋ฆฌํคCTS โ ์นฉ์ ๊ณต๊ธ๋๋ ํด๋ญ ํ์ค๋ฅผ ์ต์ ํํ๊ธฐ ์ํ ์ ํธ๋ฆฌํฐ์
๋๋ค. ๋์ผํ ์ง์ฐ์ ์ฌ์ฉํ์ฌ ์ฅ์น์ ๋ชจ๋ ๋ถ๋ถ์ ํด๋ก ์ ํธ๋ฅผ ๋ผ์ฐํ
ํ๋ ๋ฐ ๋์์ด ๋ฉ๋๋ค. ์๋ ์๋ฆฌ๋ ๋ค์์ ๊ธฐ๋ฐ์ผ๋ก ํฉ๋๋ค.
์คํ์คํ โ ์ ์ ํ์ด๋ฐ ๋ถ์์ ์ํ ์์ง. ์ด๋ฅผ ํตํด ์ค๊ณ์๋ ์นฉ์ด ์ค์ ๋ก ์กฐ๋ฆฝ๋๊ธฐ ์ ์ ์นฉ์ ๊ธฐ๋ฅ์ ํ์ธํ ์ ์์ต๋๋ค. OpenSTA์ ์์ ์ฝ๋
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
์ด ์ ํธ๋ฆฌํฐ๋ Verilog ์ฝ๋, Liberty ํ์ ๋ผ์ด๋ธ๋ฌ๋ฆฌ, SDC ํ์ผ ๋ฑ์ ๋ํ ๋ท๋ฆฌ์คํธ ์ค๋ช ์ ์ง์ํฉ๋๋ค.
์ฅ์ ๊ณผ ๋จ์
IBM ๋ฐ IEEE์ ์ ๋ฌธ๊ฐ
๋ํ OpenROAD์ ๊ฐ๋ฐฉํ ํน์ฑ์ผ๋ก ์ธํด ๋๊ตฌ๋ฅผ ์ค์ฌ์ผ๋ก ๊ฐ๋ ฅํ ์ปค๋ฎค๋ํฐ๊ฐ ํ์ฑ๋๊ณ ์๋ก์ด ์คํํธ์ ์ ์ ์นํ ๊ฒ์ผ๋ก ์์๋ฉ๋๋ค.
์ฌ์ง โ
์ด๋ฏธ ์ฐธ๊ฐ์๊ฐ ์์ต๋๋ค. ๋ฏธ์๊ฐ ๋ํ์ ๊ธฐ๋ฐ์ ๋ ์นฉ์ ๊ฐ๋ฐํ๋ ์คํ์ค์
๋๋ค.
์ ๋ฐ์ ์ผ๋ก DARPA์ ๋ฆฌ๋์ญ ํ์ ๊ฐ๋ฐ ์ค์ธ ๋๊ตฌ๋ ํ๋ก์ธ์ ์ฐ์
์ ๊ธ์ ์ ์ธ ์ํฅ์ ๋ฏธ์น ๊ฒ์ผ๋ก ์์๋๋ฉฐ ์ด ๋ถ์ผ์์ ๋ ๋ง์ ์๋ก์ด ํ๋ก์ ํธ๊ฐ ๋ฑ์ฅํ๊ธฐ ์์ํ ๊ฒ์
๋๋ค. ์๋ฅผ ๋ค๋ฉด ๋๊ตฌ๊ฐ ๋ ๊ฒ์
๋๋ค.
๋ฌด๋ฃ๋ก ์ ๊ณต๋๋ ๋๊ตฌ๋ ๋ ๋ฆฝ ์กฐ์ง๊ณผ ์คํํธ์ ์ ๋ ๋ง์ ์ต์ ์ ์ ๊ณตํฉ๋๋ค. ์๊ฐ์ด ์ง๋จ์ ๋ฐ๋ผ EDA ๋๊ตฌ ๊ฐ๋ฐ ๋ฐ ์นฉ ์ค๊ณ์ ๋ํ OpenROAD์ ์๋ก์ด ์ ๊ทผ ๋ฐฉ์์ด ์ ๊ณ ํ์ค์ด ๋ ๊ฐ๋ฅ์ฑ์ด ์์ต๋๋ค.
์ฐ๋ฆฌ ํ์ฌ ๋ธ๋ก๊ทธ์ ์ฐ๋ ๋ด์ฉ์ ๋ค์๊ณผ ๊ฐ์ต๋๋ค.
๊ฐ๋ด๊ธฐ: Cisco UCS B480 M5 ๋ธ๋ ์ด๋ ์๋ฒ ์ธ๋ฐ์ฑ: ์ฌํ๋์ ์คํ ๋ฆฌ์ง ์์คํ NetApp AFF A300 - ๋ด๋ถ ๋ชจ์ต ๊ณ ๋ฐ๋ ์๋ฒ: ์๋ฃจ์ ๋ถ์ Cisco UCS - ์ธ๋ฐ์ฑ
์ถ์ฒ : habr.com