Nîqaş: Projeya OpenROAD armanc dike ku pirsgirêka xweseriya sêwirana pêvajoyê çareser bike

Nîqaş: Projeya OpenROAD armanc dike ku pirsgirêka xweseriya sêwirana pêvajoyê çareser bike
Фото - Pexels - CC BY

Bi dayîn PWC, bazara teknolojiya nîvconductor mezin dibe - sala borî ew gihîşt 481 milyar dolar. Lê rêjeya mezinbûna wê di demên dawî de снились. Sedemên kêmbûnê pêvajoyên sêwirana cîhaza tevlihev û nebûna otomasyonê hene.

Çend sal berê, endezyarên ji Intel nivîsandku dema çêkirina mîkroprosesorek bi performansa bilind divê hûn 100-150 amûrên nermalava cuda bikar bînin (EDA). Di rewşên cîhazên heterojen de, mîmariya ku çend celeb çîpên cihêreng - ASIC, FPGA, CPU an GPU dihewîne, rewş dikare xirabtir bibe. Wekî encamek, xeletiyên sêwiranê diqewimin ku serbestberdana hilberan dereng dike.

Tevî hejmareke mezin a amûrên alîkar, endezyar hîn jî neçar in ku hin karan bi destan bikin. Nivîskarên pirtûkê "Senteza Mantiqa Pêşketî"ew dibêjin ku carinan sêwirîner heye ku Di Skill an Python de ji du mîlyon rêzan nivîsan binivîsin da ku bi wan re pirtûkxane biafirînin hucreyan.

Skrîpt jî têne nivîsandin da ku raporên ku ji hêla pergalên EDA ve têne hilberandin parsek bikin. Dema ku çîpek bi karanîna teknolojiyek pêvajoyek 22 nm pêşve dibe, ev rapor dikarin heya 30 terabyte bigirin.

DARPA biryar da ku rewşê rast bike û hewl bide ku pêvajoyên sêwiranê standardîze bike. Li ajansê jî bifikirinku rêbazên heyî yên ji bo afirandina çîpên kevnar in. Sazûman destpê kirin bernameya pênc salî OpenROAD, ku armanc dike ku amûrên nû pêşve bixe da ku pêvajoyên sêwirana çîpê otomatîk bike.

Çi cure bername

Bername gelek projeyên ku fêrbûna makîneyê û teknolojiyên cloud bikar tînin vedihewîne da ku qonaxên kesane yên afirandina çîpê otomatîk bike. Di çarçoveya pêngavê de têne pêşxistin (şeyara 1) ji deh amûran zêdetir. Piştre em ê bi hûrgulî li ser hin ji wan biaxivin: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner amûrek ji bo birêvebirina pirtûkxaneyên RTL û GDSII ye. Ya paşîn pelên databasê ne ku standardek pîşesaziyê ne ji bo veguheztina agahdariya li ser şebekeyên yekbûyî û topolojiyên wan. Çareserî li ser teknolojiya konteynerê Docker-ê ye. Hûn dikarin Flow Runner hem di ewr û hem jî herêmî de bimeşînin. Rêbernameya sazkirinê di depoya fermî de ye li ser GitHub.

Diberdaxistin çareseriyek ewr e ku li ser bingeha fêrbûna makîneyê ye, ku berpirsiyarê danîna pêkhateyan li ser çîpê û rêvekirina otomatîkî ye. Ji hin dane, algorîtmayên aqilmend li gorî pergalên klasîk karîgeriya amûrê %2–10 zêde dikin. Wekî din, pêkanîna di ewr de pîvandinê hêsantir dike. Rêbernameya sazkirin û vesazkirinê jî heye di depoyê de.

TritonCTS - amûrek ji bo xweşbînkirina pêlên demjimêrê yên ku ji çîpê re têne peyda kirin. Bi heman derengiyan re dibe alîkar ku îşaretên demjimêrê bigihîne hemî beşên cîhazê. Prensîba xebitandinê li ser bingehê ye H-darên. Ev nêzîkatî zêde dike karîgeriya belavkirina sînyalê ji sedî 30 li gorî rêbazên kevneşopî. Pêşdebir dibêjin ku di pêşerojê de ev hejmar dikare bibe 56%. Koda çavkaniyê û nivîsarên TritonCTS hene li ser GitHub.

OpenSTA - motorek ji bo analîza demjimêra statîk. Ew fersendê dide sêwiraner ku berî ku ew bi rastî were berhev kirin fonksiyona çîpê kontrol bike. Koda mînak di OpenSTA de mîna xuya dike welî evê.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Vebijêrk danasîna netlîstê ya koda Verilog, pirtûkxaneyên formata Liberty, pelên SDC, hwd piştgirî dike.

Alîkarî û kêmasiyan

Pisporên IBM û IEEE pîroz kirinku teknolojiyên ewr û fêrbûna makîneyê ji bo karanîna di hilberîna çîpê de demek dirêj e. Bi dîtina wan, projeya DARPA dikare bibe mînakek serkeftî ya pêkanîna vê ramanê û dê deyne destpêka guhertinên di pîşesaziyê de.

Di heman demê de tê pêşbînîkirin ku xwezaya vekirî ya OpenROAD dê li dora amûran civakek hêzdar biafirîne û destpêkek nû bikişîne.

Nîqaş: Projeya OpenROAD armanc dike ku pirsgirêka xweseriya sêwirana pêvajoyê çareser bike
Фото - Pexels - CC BY

Jixwe beşdar hene - laboratûwarek ku çîpên pêşdebir dike ku li Zanîngeha Michigan-ê ye, dê bibe yekem, kî dê amûrên çavkaniya vekirî OpenROAD biceribîne. Lê hîn nayê zanîn ka dê çareseriyên nû karibin bandorek berbiçav li ser lêçûna hilberên dawîn bikin an na.

Bi tevayî, amûrên ku di bin serokatiya DARPA de têne pêşve xistin, tê çaverê kirin ku bandorek erênî li ser pîşesaziya pêvajoyê bikin, û dê di vî warî de bêtir projeyên nû dest pê bikin. Mînakek dê bibe amûrek GEDA - ew dihêle hûn çîpên bi hejmareke bêsînor a pêkhateyan dîzayn bikin. gEDA ji bo guherandin û modelkirina mîkrocircuits û rêvekirina panelê karûbaran vedihewîne. Çareserî ji bo platformên UNIX hate pêşve xistin, lê hejmarek ji pêkhateyên wê jî di bin Windows-ê de dixebitin. Rêbernameyek ji bo xebata bi wan re dikare were dîtin di belgeyên li ser malpera projeyê de.

Amûrên belaş ên berdest zêdetir vebijarkan dide rêxistinên serbixwe û destpêk. Mimkun e ku bi demê re, nêzîkatiyên nû yên OpenROAD-ê ji bo pêşkeftina amûrê EDA û sêwirana çîpê dikare bibe standardek pîşesaziyê.

Tiştê ku em di bloga xweya pargîdanî de dinivîsin:

Source: www.habr.com

Add a comment