Талкуу: OpenROAD долбоору процессордун дизайнын автоматташтыруу маселесин чечүүнү көздөйт

Талкуу: OpenROAD долбоору процессордун дизайнын автоматташтыруу маселесин чечүүнү көздөйт
Фото - Pexels — CC BY

боюнча маалымат PWC, жарым өткөргүч технологиялар рыногу өсүп жатат - өткөн жылы ал 481 миллиард долларга жеткен. Бирок анын өсүү темпи жакында азайган. Төмөндөшүнүн себептеринин арасында түзүлүштөрдү долбоорлоо процесстеринин татаалдыгы жана автоматташтыруунун жоктугу бар.

Бир нече жыл мурда Интелдин инженерлери жазганжогорку өндүрүмдүү микропроцессорду түзүүдө 100-150 өзүнчө программалык каражаттарды колдонуу керек (ЖАНА-ДАН). Архитектурасы микросхемалардын бир нече ар кандай түрлөрүн камтыган - ASIC, FPGA, CPU же GPU болгон гетерогендик түзүлүштөр учурунда кырдаал курчушу мүмкүн. Натый-жада продукцняны чыгарууну кечецдетуучу конструктордук каталар пайда болот.

Жардамчы инструменттердин көптүгүнө карабастан, инженерлер дагы эле кээ бир жумуштарды кол менен аткарууга мажбур болушат. Китептин авторлору "Өркүндөтүлгөн логикалык синтез"Алар кээде дизайнерлер деп айтышат каттоо китепканаларды түзүү үчүн Skill же Python тилдеринде эки миллион саптан турган скрипттерди жазыңыз клеткалар.

Скрипттер EDA системалары тарабынан түзүлгөн отчетторду талдоо үчүн да жазылган. 22 нм процесс технологиясын колдонуу менен чипти иштеп чыгууда, бул отчеттор 30 терабайтка чейин созулушу мүмкүн.

DARPA кырдаалды оңдоп, долбоорлоо процесстерин стандартташтырууга аракет кылууну чечти. Агенттикте да кароочиптерди түзүү үчүн колдонулуп жаткан ыкмалар эскирген. Уюштуруу ишке беш жылдык программа Ачык жолчипти долбоорлоо процесстерин автоматташтыруу үчүн жаңы куралдарды иштеп чыгууга багытталган.

Кандай программа

Программа чипти түзүүнүн айрым этаптарын автоматташтыруу үчүн машина үйрөнүү жана булут технологияларын колдонгон бир нече долбоорлорду камтыйт. Демилгесинин алкагында иштеп жатышат (1-диаграмма) ондон ашык аспаптар. Кийинки биз алардын айрымдары жөнүндө кененирээк сүйлөшөбүз: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner RTL жана GDSII китепканаларын башкаруу куралы. Акыркысы интегралдык микросхемалар жана алардын топологиялары жөнүндө маалымат алмашуу үчүн тармактык стандарт болгон маалымат базасы файлдары. Чечим Docker контейнер технологиясына негизделген. Flow Runner программасын булутта да, жергиликтүү түрдө да иштете аласыз. Орнотуу боюнча колдонмо расмий репозиторийде GitHub боюнча.

Replace чипке компоненттерди жайгаштыруу жана маршрутту автоматташтыруу үчүн жооптуу болгон машина үйрөнүүсүнө негизделген булут чечими. By кээ бир маалыматтар, акылдуу алгоритмдер классикалык системаларга салыштырмалуу куралдын натыйжалуулугун 2–10% га жогорулатат. Мындан тышкары, булуттагы ишке ашыруу масштабды жеңилдетет. Орнотуу жана конфигурация боюнча колдонмо да бар репозиторийде.

TritonCTS — чипке берилген сааттын импульстарын оптималдаштыруу үчүн программа. Ошол эле кечигүү менен аппараттын бардык бөлүктөрүнө саат сигналдарын багыттоого жардам берет. Иштөө принциби негизделген H-дарактар. Бул мамиле көбөйөт салттуу ыкмаларга салыштырмалуу 30% га сигнал бөлүштүрүүнүн натыйжалуулугу. Иштеп чыгуучулар келечекте бул көрсөткүчтү 56%га чейин көбөйтүүгө болоорун айтышат. TritonCTS булак коду жана скрипттери жеткиликтүү GitHub боюнча.

OpenSTA — статикалык убакыт анализи үчүн кыймылдаткыч. Ал конструкторго чиптин иш жүзүндө чогултулганга чейин иштешин текшерүү мүмкүнчүлүгүн берет. OpenSTAдагы мисал код сырткы көрүнүш Бул сыяктуу.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Утилита Verilog кодунун, Liberty форматындагы китепканалардын, SDC файлдарынын ж.

Артыкчылыктары жана кемчиликтери

IBM жана IEEE эксперттери белгибулут технологиялары жана машина үйрөнүү чип өндүрүшүндө колдонуу үчүн эчак эле кечикти. Алардын ою боюнча, DARPA долбоору бул идеяны ишке ашыруунун ийгиликтүү мисалы боло алат жана коет тармагындагы өзгөрүүлөрдүн башталышы.

Ошондой эле OpenROAD ачык мүнөзү куралдардын айланасында күчтүү коомчулукту түзүп, жаңы стартаптарды тартат деп күтүлүүдө.

Талкуу: OpenROAD долбоору процессордун дизайнын автоматташтыруу маселесин чечүүнү көздөйт
Фото - Pexels — CC BY

Буга чейин катышуучулар бар - Мичиган университетинин базасында чиптерди иштеп чыгуучу лаборатория, биринчи болот, OpenROAD ачык булак куралдарын ким сынайт. Бирок жаңы чечимдер акыркы продукциянын баасына байкаларлык таасирин тийгизе алабы, азырынча белгисиз.

Жалпысынан DARPAнын жетекчилиги астында иштелип жаткан инструменттер процессор тармагына оң таасирин тийгизет жана бул жаатта дагы жаңы долбоорлор пайда боло баштайт деп күтүлүүдө. Мисалы, курал болот gEDA — чексиз сандагы компоненттери бар чиптерди долбоорлоого мумкундук берет. gEDA микросхемаларды жана тактанын маршрутун оңдоо жана моделдөө үчүн утилиталарды камтыйт. Чечим UNIX платформалары үчүн иштелип чыккан, бирок анын бир катар компоненттери Windows астында да иштейт. Алар менен иштөө боюнча колдонмо табууга болот Долбоордун веб-сайтындагы документтерде.

Эркин жеткиликтүү куралдар көз карандысыз уюмдарга жана стартаптарга көбүрөөк мүмкүнчүлүктөрдү берет. Убакыттын өтүшү менен OpenROADдын EDA инструменттерин иштеп чыгууга жана чипти долбоорлоого жаңы ыкмалары тармактык стандарт болуп калышы мүмкүн.

Биздин корпоративдик блогубузда эмне жөнүндө жазабыз:

Source: www.habr.com

Комментарий кошуу