Discussion: Consilium OpenROAD problema automationis processus designationis solvere intendit

Discussion: Consilium OpenROAD problema automationis processus designationis solvere intendit
Π€ΠΎΡ‚ΠΎ - Pexels β€” CC BY

in notitia PWC, semiconductor technologiae mercatus crescit - anno praeterito pervenit $481 sescenti. Sed eius incrementum rate nuper ibant et decrescebant. Rationes declinationis includunt perturbationes processus machinae et defectus automationis.

Paucis abhinc annis, fabrum de Intel scripsitquod cum summus perficientur microprocessor creando uti 100-150 software instrumenta separata (ET EX*). Res aggravari potest in machinis heterogeneis, quarum architectura plura genera chippis comprehendit - ASIC, FPGA, CPU vel GPU. Quam ob rem, consilio errorum eveniunt morae emissio productorum.

Quamvis numerus instrumentorum auxiliariorum, fabrum adhuc coacti sunt ad aliquod opus manuale faciendum. Auctores libri "Provectus Logica Synthesis"Dicunt interdum designers" rationum Scribere scripta in Arte aut Pythone duorum milionum versuum ad creare bibliothecas cum cellulae.

Scripta etiam scripta sunt ad relationes parse ab EDA systemata generatas. Cum chip elaborando utens technologiae 22nm processuum, hae relationes ad 30 terabytes capere possunt.

DARPA statum corrigere constituit et processus designare conantur. Ad propellente nimis consideransqui modi exsistentes efficiendi astulas outdated sunt. Organization launched quinquennium progressio OpenROADquae intendit nova instrumenta ad automate processuum machinationis evolvere.

Qualia programmata

Propositum involvit varia opera quae machinae discendi et technologiae nubem adhibent ad automate singulos gradus creationis chippis. Ut partem marte sunt crescendo (I) plus quam decem instrumenta. De quibusdam deinde fusius loquemur: Fluunt Cursor, RePlAce, TritonCTS, OpenSTA.

Influunt Cursor est instrumentum procurationis RTL et GDSII librariorum. Hae sunt fasciculi database qui sunt industriae normae ad informationes permutandas de circuitibus integralibus et earum topologiis. Solutio in Docker continentis technicae artis fundatur. Potes currere Cursor tam in nube quam in loco. Rector institutionis est in repositorio officiali in GitHub.

RePlAce est nubes solutionis machinae secundum doctrinam, quae responsabilis est ut componentes in spumam et automating fundere. By aliqua notitiaalgorithmi intelligentes augent efficientiam instrumenti ab 2-10% ad systemata classica comparati. Praeterea scala facilior efficiatur in nube. Installation et configuratione dux etiam available in eclesiae reposito.

TritonCTS - utilitas ad optimizing pulsus horologii schedulam praebendam. Horologium iter adiuvat omnibusque partibus cum eodem moras machinationis annuit. Principium operans fundatur H-arbores. Aditus raises insignem distributionem efficientiam per 30% modos traditos comparari. Tincidunt dicunt in futuro hanc figuram augeri posse ad 56%. TritonCTS source code and scripts available in GitHub.

OpenSTA - machinam pro static leo analysis. Dat occasionem excogitatoris chippis ad reprimendam functionem antequam actu convenerunt. Exemplum codice in OpenSTA oculorum ut hoc.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Utilitas subsidiorum descriptiones verilogorum codicis, Libertatis formarum bibliothecarum, SDC imaginum, etc.

Commoda et incommoda

Periti IBM et IEEE marcamNubes illa technologiae et apparatus discendi diu in usu productionis chippis decuit. Eorum sententia in consilium DARPA felix exemplum exsequendi huius ideae fieri potest et put de industria ini- mutationum.

Optandum est etiam quod aperta natura OpenROAD potentem communitatem circa instrumenta creabit et nova principia alliciet.

Discussion: Consilium OpenROAD problema automationis processus designationis solvere intendit
Π€ΠΎΡ‚ΠΎ - Pexels β€” CC BY

Iam participes sunt - officinarum astularum enucleantium in Universitate Michigan fundatarum, erit primus, quis instrumenta aperta fonte OpenROAD probabit. Sed nondum notum est num novae solutiones effectum notabilem habere possint in sumptum productorum finalium.

Super instrumenta sub DARPA duce crescendo positivum ictum habere expectantur processus industriae, et plura nova in hac provincia emergere incipient. Exemplum esset instrumentum Geda β€” sinit te consilium astularum cum infinito numero componentium. gEDA utilitas includit microcircuitationes et tabulas edendas et describendas. Solutio pro suggestis UNIX amplificata est, sed plures eius partes etiam sub Fenestra operantur. Dux ad cooperandum cum illis inveniri potest in documentis in project website.

Instrumenta gratuita praesto dant Instituta independentia et incepta plura optiones. Fieri potest ut per tempus, novus accessus OpenROAD ad EDA instrumentum evolutionis et consilium assationis industriae vexillum fieri possit.

Quae scribimus de in nostro diario corporato:

Source: www.habr.com