Diskussioun: Den OpenROAD Projet wëll de Problem vun der Automatisatioun vum Prozessor Design léisen

Diskussioun: Den OpenROAD Projet wëll de Problem vun der Automatisatioun vum Prozessor Design léisen
Фото - Pexels - CC BY

By uginn PWC, de Semiconductor Technologie Maart wiisst - d'lescht Joer erreecht et $481 Milliarden. Mä seng Wuesstem Taux kuerzem ofgeholl. D'Grënn fir den Ënnergang enthalen konfus Apparat Designprozesser an e Mangel un Automatisatioun.

E puer Joer, Ingenieuren aus Intel geschriwwendatt wann Dir en High-Performance-Mikroprozessor erstellt, musst Dir 100-150 separat Software Tools benotzen (AN VUN). D'Situatioun kann am Fall vun heterogenen Apparater verschäerft ginn, d'Architektur vun deenen e puer verschidden Aarte vu Chips enthält - ASIC, FPGA, CPU oder GPU. Als Resultat entstinn Designfehler déi d'Verëffentlechung vu Produkter verzögeren.

Trotz der grousser Unzuel vun Hëllefsinstrumenter sinn d'Ingenieuren nach ëmmer gezwongen eng Aarbecht manuell ze maachen. D'Auteuren vum Buch "Fortgeschratt Logik Synthese"Si soen, datt heiansdo Designer mussen schreiwen Scripten an Fäegkeet oder Python vun zwou Millioune Linnen Bibliothéiken ze schafen mat Zellen.

Skripte ginn och geschriwwe fir Berichter ze analyséieren generéiert vun EDA Systemer. Wann Dir en Chip entwéckelt mat enger 22nm Prozesstechnologie, kënnen dës Berichter bis zu 30 Terabytes daueren.

DARPA huet decidéiert d'Situatioun ze korrigéieren an ze probéieren d'Designprozesser ze standardiséieren. Och bei der Agence betruechtdatt existent Methoden fir Chips ze kreéieren al sinn. Organisatioun lancéiert fënnef Joer Programm OpenROAD, déi zielt fir nei Tools z'entwéckelen fir Chip Design Prozesser ze automatiséieren.

Wéi eng Zort Programm

De Programm involvéiert verschidde Projeten déi Maschinnléieren a Cloud Technologien benotzen fir eenzel Etappe vun der Chipschafung ze automatiséieren. Am Kader vun der Initiativ entwéckelt ginn (Diagramm 1) méi wéi zéng Instrumenter. Als nächst wäerte mir méi detailléiert iwwer e puer vun hinnen schwätzen: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner ass en Tool fir RTL- a GDSII-Bibliothéiken ze managen. Déi lescht sinn Datebankdateien déi en Industriestandard sinn fir Informatioun iwwer integréiert Kreesleef an hir Topologien auszetauschen. D'Léisung baséiert op Docker Container Technologie. Dir kënnt Flow Runner souwuel an der Wollek wéi och lokal lafen. Den Installatiounsguide ass am offiziellen Repository op GitHub.

Ersetzen ass eng Cloud-Léisung baséiert op Maschinnléieren, déi verantwortlech ass fir Komponenten op engem Chip ze placéieren an d'Routing ze automatiséieren. Vun puer Donnéeën, intelligent Algorithmen erhéijen d'Effizienz vum Tool ëm 2-10% am Verglach mat klassesche Systemer. Zousätzlech mécht d'Ëmsetzung an der Wollek d'Skaléierung méi einfach. Installatiouns- a Konfiguratiounsguide ass och verfügbar am Repository.

TritonCTS - en Utility fir d'Auerimpulsen op den Chip ze optimiséieren. Hëlleft d'Auer Signaler un all Deeler vum Apparat mat de selwechte Verspéidungen ze routen. De Betribsprinzip baséiert op H-Beem. Dës Approche erhéicht Signalverdeelungseffizienz ëm 30% am Verglach mat traditionelle Methoden. D'Entwéckler soen datt an Zukunft dës Figur op 56% erhéicht ka ginn. TritonCTS Quellcode a Skripte verfügbar op GitHub.

OpenSTA - e Motor fir statesch Timing Analyse. Et gëtt dem Designer d'Méiglechkeet d'Funktionalitéit vum Chip z'iwwerpréiwen ier en tatsächlech zesummegesat gëtt. Beispill Code an OpenSTA gesäit aus esou.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

D'Utility ënnerstëtzt Netlist Beschreiwunge vu Verilog Code, Liberty Format Bibliothéiken, SDC Dateien, etc.

Virdeeler an Nodeeler

Experten vun IBM an IEEE feierendatt Cloud Technologien a Maschinnléiere laang Zäit fir d'Chipproduktioun ze benotzen. Hirer Meenung no kann den DARPA Projet en erfollegräicht Beispill vun der Ëmsetzung vun dëser Iddi ginn an wäert setzen den Ufank vun Ännerungen an der Industrie.

Et gëtt och erwaart datt déi oppe Natur vun OpenROAD eng mächteg Gemeinschaft ronderëm d'Tools erstellt an nei Startups unzezéien.

Diskussioun: Den OpenROAD Projet wëll de Problem vun der Automatisatioun vum Prozessor Design léisen
Фото - Pexels - CC BY

Et gi scho Participanten - e Laboratoire entwéckelt Chips baséiert op der University of Michigan, wäert déi éischt sinn, déi OpenROAD Open Source Tools testen. Awer et ass nach net gewosst, ob nei Léisungen e merkbare Effekt op d'Käschte vun de Schlussprodukter kënnen hunn.

Insgesamt ginn d'Tools, déi ënner der Leedung vun der DARPA entwéckelt ginn, erwaart e positiven Impakt op d'Prozessorindustrie ze hunn, a méi nei Projete fänken un an dësem Beräich eraus. E Beispill wier e Tool GEDA - et erlaabt Iech Chips mat enger onlimitéierter Zuel vu Komponenten ze designen. gEDA enthält Utilities fir d'Editioun an d'Modeller vun Mikrokreesser a Board Routing. D'Léisung gouf fir UNIX Plattformen entwéckelt, awer eng Rei vu senge Komponenten funktionnéieren och ënner Windows. E Guide fir mat hinnen ze schaffen ka fonnt ginn an der Dokumentatioun op der Websäit vum Projet.

Fräi verfügbar Tools ginn onofhängeg Organisatiounen a Startups méi Optiounen. Et ass méiglech datt mat der Zäit dem OpenROAD seng nei Approche fir EDA Tool Entwécklung an Chip Design en Industriestandard kéint ginn.

Wat mir an eisem Firmeblog schreiwen:

Source: will.com

Setzt e Commentaire