Diskusija: OpenROAD projektu siekiama išspręsti procesoriaus projektavimo automatizavimo problemą

Diskusija: OpenROAD projektu siekiama išspręsti procesoriaus projektavimo automatizavimo problemą
Фото - Pexels – CC BY

Apie Pagal PWC, puslaidininkių technologijų rinka auga – pernai ji pasiekė 481 mlrd. Tačiau pastaruoju metu jo augimo tempas sumažėjo. Nuosmukio priežastys – painūs įrenginių projektavimo procesai ir automatizavimo trūkumas.

Prieš keletą metų „Intel“ inžinieriai писалиkad kurdami didelio našumo mikroprocesorių turite naudoti 100–150 atskirų programinės įrangos įrankių (EGA). Situaciją gali pabloginti heterogeniniai įrenginiai, kurių architektūroje yra keletas skirtingų tipų lustų – ASIC, FPGA, CPU arba GPU. Dėl to atsiranda projektavimo klaidų, kurios vėluoja gaminių išleidimą.

Nepaisant daugybės pagalbinių įrankių, inžinieriai vis dar yra priversti kai kuriuos darbus atlikti rankiniu būdu. Knygos autoriai“Išplėstinė logikos sintezė"Jie sako, kad kartais dizaineriai turi Rašykite dviejų milijonų eilučių scenarijus Skill arba Python, kad galėtumėte kurti bibliotekas ląstelės.

Skriptai taip pat rašomi analizuoti EDA sistemų sukurtas ataskaitas. Kuriant lustą naudojant 22 nm proceso technologiją, šios ataskaitos gali užtrukti iki 30 terabaitų.

DARPA nusprendė ištaisyti situaciją ir pabandyti standartizuoti projektavimo procesus. Agentūroje taip pat apsvarstykitekad esami lustų kūrimo metodai yra pasenę. Organizacija paleistas penkerių metų programa Atviras kelias, kurios tikslas – sukurti naujus įrankius lustų projektavimo procesams automatizuoti.

Kokia programa

Programa apima keletą projektų, kuriuose naudojamas mašininis mokymasis ir debesų technologijos, siekiant automatizuoti atskirus lustų kūrimo etapus. Kaip iniciatyvos dalis yra kuriami (1 diagrama) daugiau nei dešimt instrumentų. Toliau pakalbėsime plačiau apie kai kuriuos iš jų: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Srauto bėgikas yra RTL ir GDSII bibliotekų valdymo įrankis. Pastarieji yra duomenų bazių failai, kurie yra pramonės standartas, skirtas keistis informacija apie integrinius grandynus ir jų topologijas. Sprendimas pagrįstas Docker konteinerių technologija. „Flow Runner“ galite paleisti tiek debesyje, tiek vietoje. Diegimo vadovas yra oficialioje saugykloje „GitHub“..

Pakeisti yra debesies sprendimas, pagrįstas mašininiu mokymusi, kuris yra atsakingas už komponentų įdėjimą į lustą ir automatizuotą maršruto parinkimą. Autorius kai kurie duomenys, išmanieji algoritmai padidina įrankio efektyvumą 2–10%, lyginant su klasikinėmis sistemomis. Be to, diegimas debesyje palengvina mastelio keitimą. Taip pat yra įdiegimo ir konfigūravimo vadovas saugykloje.

TritonCTS - įrankis, skirtas optimizuoti į lustą tiekiamus laikrodžio impulsus. Padeda nukreipti laikrodžio signalus į visas įrenginio dalis su tuo pačiu vėlavimu. Veikimo principas pagrįstas H-medžiai. Šis požiūris sustiprina signalo paskirstymo efektyvumas 30%, lyginant su tradiciniais metodais. Kūrėjai teigia, kad ateityje šis skaičius gali būti padidintas iki 56%. Galimas TritonCTS šaltinio kodas ir scenarijai „GitHub“..

OpenSTA — statinės laiko analizės variklis. Tai suteikia dizaineriui galimybę patikrinti lusto funkcionalumą prieš jį sumontuojant. Kodo pavyzdys OpenSTA atrodo kaip kaip šitas.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Priemonė palaiko „Verilog“ kodo, Liberty formato bibliotekų, SDC failų ir kt.

Privalumai ir trūkumai

IBM ir IEEE ekspertai švęstikad debesų technologijas ir mašininį mokymąsi jau seniai reikia naudoti lustų gamyboje. Jų nuomone, DARPA projektas gali tapti sėkmingu šios idėjos įgyvendinimo pavyzdžiu ir įdės pramonės pokyčių pradžia.

Taip pat tikimasi, kad atviras OpenROAD pobūdis sukurs galingą įrankių bendruomenę ir pritrauks naujų startuolių.

Diskusija: OpenROAD projektu siekiama išspręsti procesoriaus projektavimo automatizavimo problemą
Фото - Pexels – CC BY

Jau yra dalyvių - laboratorija, kurianti lustus Mičigano universitete, bus pirmas, kuris išbandys OpenROAD atvirojo kodo įrankius. Tačiau kol kas nežinoma, ar nauji sprendimai turės pastebimos įtakos galutinių produktų savikainai.

Apskritai, DARPA vadovaujant kuriami įrankiai turėtų teigiamai paveikti procesorių pramonę ir šioje srityje pradės atsirasti daugiau naujų projektų. Pavyzdys būtų įrankis GEDA — leidžia kurti lustus su neribotu komponentų skaičiumi. GEDA apima mikroschemų redagavimo ir modeliavimo bei plokštės maršruto parinkimo priemones. Sprendimas buvo sukurtas UNIX platformoms, tačiau kai kurie jo komponentai veikia ir Windows sistemoje. Galite rasti darbo su jais vadovą dokumentuose projekto svetainėje.

Laisvai prieinami įrankiai suteikia nepriklausomoms organizacijoms ir startuoliams daugiau galimybių. Gali būti, kad laikui bėgant naujasis OpenROAD požiūris į EDA įrankių kūrimą ir lustų dizainą gali tapti pramonės standartu.

Apie ką rašome savo įmonės tinklaraštyje:

Šaltinis: www.habr.com

Добавить комментарий