Author: ProHoster

Newsraft 0.23

Navoaka ny Newsraft 0.23, programa fampiononana hijerena ireo fahana RSS. Ny tetikasa dia aingam-panahy avy amin'ny Newsboat ary miezaka ny ho mpiara-miasa aminy maivana. Endri-javatra miavaka amin'ny Newsraft: fampidinana mifanitsy; fanakambanana kasety ho fizarana; toe-javatra hanokafana rohy misy baiko rehetra; mijery vaovao avy amin'ny fahana rehetra amin'ny fomba fikarohana; fanavaozana mandeha ho azy ny fahana sy fizarana; manendry hetsika maro ho an'ny fanalahidy; fanohanana ireo horonam-peo avy amin'ny [...]

fastfetch 2.7.0

Tamin'ny 26 Janoary, navoaka ny 2.7.0 an'ny fampitaovana fampitaovana fampitaovana haingana sy flashfetch, nosoratana tamin'ny C ary nozaraina tamin'ny alàlan'ny fahazoan-dàlana MIT. Utilities dia natao hanehoana vaovao momba ny rafitra. Tsy toy ny fastfetch, ny flashfetch dia tsy manohana ireo endri-javatra mandroso. Fanovana: Nampiana môdely TerminalTheme vaovao izay mampiseho ny loko eo aloha sy ambadiky ny varavarankely terminal ankehitriny. Mbola tsy mandeha amin'ny Windows; […]

SystemRescue 11.0 famoahana fizarana

Ny famoahana ny SystemRescue 11.0 dia misy, fizarana mivantana manokana mifototra amin'ny Arch Linux, natao ho an'ny fanarenana ny rafitra taorian'ny tsy fahombiazana. Xfce dia ampiasaina amin'ny tontolon'ny sary. Ny haben'ny sary iso dia 853 MB (amd64). Fanovana amin'ny dikan-teny vaovao: Nohavaozina ho sampana 6.6 ny kernel Linux. Nampiana paramètre ssh_known_hosts amin'ny fisie fanamafisana mba hamaritana ny fanalahidin'ny mpampiantrano azo itokisana ho an'ny SSH. Nohavaozina ny configuration […]

AMD Open Source Driver ho an'ny NPU miorina amin'ny Architecture XDNA

AMD dia namoaka kaody loharanon'ny mpamily ho an'ny karatra misy motera miorina amin'ny maritrano XDNA, izay manome fitaovana hanafaingana ny kajy mifandraika amin'ny fianarana milina sy ny fanodinana famantarana (NPU, Neural Processing Unit). Ny NPU miorina amin'ny maritrano XDNA dia misy amin'ny andiany 7040 sy 8040 an'ny processeur AMD Ryzen, AMD Alveo V70 accelerators, ary AMD Versal SoCs. Ny kaody dia voasoratra ao amin'ny [...]

Mpitantana ambony iray manana traikefa be dia be no nandao an'i Apple

Ny veterana Apple DJ Novotney, izay nitarika ny fampivoarana fitaovana an-trano ary nanampy tamin'ny fananganana fiara elektrika, dia nanambara tamin'ny mpiara-miasa fa handao ny orinasa izy. Araka ny loharanom-baovao dia hifindra amin'ny toeran'ny filoha lefitry ny programa momba ny fiara ao amin'ny Rivian, izay mamokatra SUV elektrika sy kamiao pickup i Novotny, ary hanao tatitra mivantana amin'ny tale jeneralin'ny Rivian Robert Scaringe. "Vokatra lehibe - [...]

Ny kamiao habakabaka Cygnus dia vonona amin'ny sidina voalohany amin'ny balafomanga Falcon 9 - tsy maintsy nampiana gigadoor

Ny sambon-danitra mitondra entana Cygnus an'i Northrop Grumman dia halefa voalohany amin'ny balafomanga SpaceX Falcon 9 mankany amin'ny International Space Station. Ny fandefasana dia hatao avy ao amin'ny seranan-tsambon'i Cape Canaveral any Florida amin'ny 30 Janoary amin'ny 12:07 ora eo an-toerana (20:07 ora Moskoa). Loharano sary: ​​SpaceX Loharano: 3dnews.ru

Ny bokotra "Miditra amin'ny Apple" dia tsy ilaina intsony amin'ny rindranasa iOS, fa misy ny nuance sasany

Ny fanovana farany nataon'i Apple tamin'ny fitsipiky ny App Store dia nisy fiantraikany tamin'ny endri-javatra Sign in with Apple. Araka ny fitsipika vaovao, ny fampiharana mampiasa serivisy fanamarinana ny mpampiasa amin'ny alàlan'ny sehatra antoko fahatelo toa an'i Google, F******k ary X (Twitter taloha) dia tsy voatery hanolotra safidy hidirana amin'ny kaonty Apple intsony. Na izany aza, ho setrin'izany, ny mpamorona dia tsy maintsy manolotra serivisy fanomezan-dàlana hafa ho an'ny mpampiasa izay manana antoka momba ny tsiambaratelo […]

Famoahana voalohany ny mpizara Niri composite mampiasa Wayland

Navoaka ny famoahana voalohany ny mpizara Niri composite. Ny tetikasa dia aingam-panahy avy amin'ny fanitarana GNOME PaperWM ary mametraka fomba fandrafetana taila izay atambatra ao anaty ribbon tsy misy farany eo amin'ny efijery ny varavarankely. Ny fanokafana varavarankely vaovao dia manitatra ny ribbon, fa ny varavarankely nampiana teo aloha dia tsy manova ny habeny. Ny kaody tetikasa dia voasoratra amin'ny Rust ary zaraina eo ambanin'ny [...]

Palworld no lasa lalao faharoa teo amin'ny tantara miaraka amin'ny tampon'isa an-tserasera amin'ny Steam misy olona mihoatra ny 2 tapitrisa

Navoaka tao amin'ny Early Access tamin'ny 19 Janoary, nahatratra dingana lehibe iray hafa i Palworld. Andro vitsy lasa izay, mpampiasa Steam 1 no nilalao ny simulator niaraka. Ankehitriny dia fantatra fa taty aoriana io isa io dia nihoatra ny 864 tapitrisa mpilalao miaraka, izay vokatra faharoa teo amin'ny tantaran'ny serivisy. Loharano sary: ​​PocketpairSource: 421dnews.ru

Ny mpamorona ny chips AI goavam-be Cerebras dia mikasa ny hanao IPO amin'ny tapany faharoa amin'ny 2024.

The American startup Cerebras Systems, izay mamolavola chips ho an'ny rafi-pianarana milina sy asa hafa be loharanon-karena, araka ny filazan'i Bloomberg, dia mikasa ny hanao tolotra ampahibemaso voalohany (IPO) amin'ny tapany faharoa amin'ity taona ity. Efa mandeha ny fifampidinihana amin’ireo mpanolotsaina. Cerebras dia naorina tamin'ny taona 2015. Izy io no mpamorona ny chips WSE (Wafer Scale Engine) miendrika wafer […]

Famatsiam-bola US CHIP Act mitentina 39 miliara dolara hanombohana ny fizarana amin'ny fiandohan'ny volana martsa

Ny "Lalàna Chips" izay noraisin'ny manampahefana amerikana tamin'ny taona 2022, izay midika fa fanohanan'ny governemanta ny famokarana sy ny fampivoarana azy ireo amin'ny fitambaran'ny $ 53 lavitrisa, dia nanampy ireo mpanamboatra vitsivitsy hijery amim-pahatokiana kokoa ny hoavin'ny orinasany ao amin'ny firenena. Heverin’ny loharanom-baovao fa maro ny fanambarana lehibe hatao amin’ity telovolana ity. Loharano sary: ​​IntelSource: […]

Miahiahy ny mpahay siansa fa tsy misy zavatra maizina ao afovoan'ny Milky Way

Tokony ho 50 taona lasa izay, dia nanjary nazava fa feno zavatra tsy hita maso ny vahindanitra, izay toy ny hoe manamafy ny zavatra rehetra hitantsika ao aminy. Nanomboka nantsoina hoe maizina io akora io, satria tsy hita ao amin'ny elanelana elektromagnetika izy io ary tsy misy fiantraikany amin'ny manodidina azy afa-tsy amin'ny herin'ny maizina. Noho ny habetsahan’ny zavatra maizina ao amin’ny vahindanitra, dia tsy mihena ny hafainganam-pandehan’ny kintana rehefa miala […]