Author: ProHoster

Auto-taranaka tsiambaratelo ao amin'ny Helm

Ny ekipan'ny Kubernetes aaS ao amin'ny Mail.ru dia nandika naoty fohy momba ny fomba famokarana ho azy ny tsiambaratelon'ny Helm rehefa manavao. Ity manaraka ity dia lahatsoratra avy amin'ny mpanoratra ny lahatsoratra - talen'ny teknikan'ny Intoware, orinasa iray mamolavola vahaolana SaaS. Mangatsiaka ny kaontenera. Tamin'ny voalohany dia anti-container aho (menatra aho ny hanaiky izany), fa ankehitriny dia manohana tanteraka ny fampiasana an'io teknolojia io aho. Raha mamaky ity ianao dia manantena aho fa nahavita nilomano tsara ianao […]

Fanamarihana fohy momba ny zava-nitranga tamin'ny overheating ny LSI RAID controller ao amin'ny lohamilina ao amin'ny foibe angona mangatsiaka

TL;DR; Ny fametrahana ny fomba fiasa amin'ny rafitra fampangatsiahana mpizara Supermicro Optimal dia tsy miantoka ny fiasan'ny MegaRAID 9361-8i LSI amin'ny foibe data mangatsiaka. Miezaka izahay tsy mampiasa fitaovana RAID controllers, fa manana mpanjifa iray izay tia LSI MegaRAID configurations. Androany dia nisedra hafanana be loatra ny karatra MegaRAID 9361-8i noho ny tsy fisian'ny sehatra […]

Ny solosaina tokana ODROID-N2 Plus dia mirefy 90 x 90 mm

Ny ekipan'ny Hardkernel dia namoaka ny birao fampandrosoana ODROID-N2 Plus, mifototra amin'izany dia azonao atao ny mametraka tetikasa isan-karazany amin'ny sehatry ny Internet of Things, robotics, sns. Ny vahaolana dia mifototra amin'ny processeur Amlogic S922X Rev.C. Ny kojakoja fanodinana enina ao aminy dia misy rindrankajy lehibe.LITTLE: koba Cortex-A73 efatra miasa amin'ny hafainganam-pandehan'ny famantaranandro hatramin'ny 2,4 GHz, ary koba roa Cortex-A53 hatramin'ny […]

Ny toetra sy ny endriky ny finday Moto E7 mora vidy dia nambara

Nipoitra tao amin'ny tranokalan'ny mpandraharaha finday kanadianina Freedom Mobile ny sarin'ny finday Moto E7 antsoina hoe Ginna, izay andrasana amin'ny hoavy tsy ho ela. Ny vokatra vaovao dia hameno ny karazana fitaovana tsy lafo. Araka ny hitanao ao amin'ny renders, ny fitaovana dia hahazo fampisehoana miaraka amin'ny fanapahana kely miendrika latsaka ho an'ny fakantsary eo anoloana tokana mifototra amin'ny sensor 5-megapixel. Ny haben'ny efijery dia ho 6,2 santimetatra […]

Navelan'i Alemaina ny Intel hanandrana fiara miaraka amin'ny Mobileye autopilot eny amin'ny lalam-bahoaka

Ny fikambanana manam-pahaizana alemà TÜV Süd dia nanome alalana ny orinasa Intel Mobileye mba hizaha toetra ny fiara mitondra tena any Alemaina amin'ny lalam-bahoaka. Hanomboka voalohany ao amin'ny "renivohitra fiaran'i Eoropa" - Munich ary avy eo hiparitaka manerana an'i Alemaina - na an-tanàn-dehibe na ambanivohitra ny fitsapana. Intel dia nividy ny orinasa Israeliana Mobileye tamin'ny taona 2017 noho ny […]

Misy sehatra fandefasana hafatra Zulip 3.0 sy Mattermost 5.25

Natolotra ny famoahana ny Zulip 3.0, sehatra mpizara amin'ny fametrahana iraka orinasa mety amin'ny fandaminana ny fifandraisana eo amin'ny mpiasa sy ny ekipa fampandrosoana. Ny tetikasa dia novolavolain'i Zulip tany am-boalohany ary nosokafana taorian'ny nandraisan'ny Dropbox azy tamin'ny alàlan'ny lisansa Apache 2.0. Ny code side server dia nosoratana tamin'ny Python mampiasa ny rafitra Django. Ny rindrambaiko mpanjifa dia misy amin'ny Linux, Windows, macOS, Android ary […]

Fanavaozana ny fonosana antivirus maimaim-poana ClamAV 0.102.4

Ny famoahana ny fonosana anti-virus maimaim-poana ClamAV 0.102.4 dia noforonina, izay manafoana ireo vulnerabilities telo: CVE-2020-3350 - mamela ny mpanafika eo an-toerana tsy manana tombontsoa handamina ny famafana na ny fihetsehan'ny rakitra tsy misy dikany ao amin'ny rafitra, ohatra, ianao. afaka mamafa /etc/passwd tsy manana ny fahazoan-dàlana ilaina. Ny vulnerability dia vokatry ny toe-javatra hazakazaka izay mitranga rehefa mijery ireo rakitra maloto ary mamela ny mpampiasa iray manana akorandriaka miditra amin'ny rafitra hamitaka ny lahatahiry kendrena […]

Namoaka dikan-teny Linux open source an'ny fitaovana fanaraha-maso ProcMon i Microsoft.

Namoaka ny kaody loharanon'ny ProcMon (Process Monitor) ho an'ny Linux amin'ny alàlan'ny lisansa MIT i Microsoft. Tany am-boalohany dia nomena ho ampahany amin'ny suite Sysinternals ho an'ny Windows ilay fitaovana ary efa namboarina ho an'ny Linux izao. Ny tracing amin'ny Linux dia nokarakaraina amin'ny alàlan'ny BCC (BPF Compiler Collection) fitaovana, izay ahafahanao mamorona programa BPF mahomby amin'ny fanaraha-maso sy fanodinkodinana ny rafitra kernel. Ny fonosana efa vonona dia natsangana ho an'ny [...]

Arovy ny antontan-taratasy mba tsy handika

Misy 1000 ary fomba iray hiarovana ny antontan-taratasy elektronika amin'ny kopia tsy nahazoana alalana. Saingy raha vantany vao miditra amin'ny fanjakana analogue ny antontan-taratasy (araka ny GOST R 52292–2004 "Teknolojian'ny fampahalalana. Fifanakalozan-baovao elektronika. Terms sy famaritana", ny foto-kevitry ny "dokumen analog" dia ahitana ny endrika nentim-paharazana rehetra amin'ny fanolorana antontan-taratasy amin'ny haino aman-jery analoga: taratasy, sary ary sarimihetsika, sns. Ny endrika analogue ny fanehoana dia afaka […]

Fijery ankapobeny momba ny maritrano serivisy ho an'ny fanombanana ny bika aman'endriny mifototra amin'ny tambajotra neural

Fampidirana Salama! Amin'ity lahatsoratra ity dia hizara ny traikefako amin'ny fananganana maritrano microservice ho an'ny tetikasa mampiasa tambajotra neural aho. Andao hiresaka momba ny fepetra takian'ny maritrano, jereo ny kisary ara-drafitra isan-karazany, hamakafaka ny singa tsirairay amin'ny maritrano vita, ary manombana ihany koa ny metrika ara-teknika amin'ny vahaolana. Ankafizo ny mamaky! Teny vitsivitsy momba ny olana sy ny famahana azy.Ny hevitra fototra dia ny fanomezana tombana mifototra amin'ny sary [...]

Mail ho an'ny sehatra iray avy amin'ny Mail.ru sy avy amin'ny Yandex: misafidy amin'ny serivisy tsara roa

Salama daholo. Noho ny adidiko dia tsy maintsy mitady serivisy mailaka ho an'ny sehatra aho izao, i.e. Mila mailaka orinasa tsara sy azo itokisana ianao, ary mailaka ivelany. Teo aloha aho dia nitady serivisy ho an'ny antso an-dahatsary miaraka amin'ny fahaizan'ny orinasa, izao no anjaran'ny mailaka. Azoko lazaina fa toa be dia be ny serivisy, saingy rehefa miara-miasa amin'ny ankamaroan'izy ireo dia misy olana mitranga. […]

Nisy daty fandefasana vaovao nambara ho an'ny Teleskaopy Space James Webb

Ny US National Aeronautics and Space Administration (NASA) dia nanambara fa ny James Webb Space Telescope dia nokasaina hanomboka amin'ny fararano manaraka. Ny fitaovana nomena anarana dia ho lasa observatory orbital lehibe indrindra sy mahery indrindra teo amin'ny tantara: hahatratra 6,5 ​​metatra ny haben'ny fitaratra mitambatra. James Webb dia iray amin'ireo sarotra sy lafo indrindra […]