Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Tapitra ny SDSM, fa ny faniriana tsy voafehy hanoratra dia mijanona.

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Nandritra ny taona maro, ny rahalahintsika dia nijaly noho ny fanaovana asa mahazatra, namakivaky ny rantsan-tànany talohan’ny nanaovany zavatra ary tsy nahita tory noho ny fihemorana isan’alina.
Efa tapitra anefa ny andro maizina.

Amin'ity lahatsoratra ity dia hanomboka andian-dahatsoratra momba ny fomba aho ahy automatique no hita.
Teny an-dalana dia ho azontsika ny dingan'ny automatique, fitehirizana varimbazaha, famolavolana endrika, RestAPI, NETCONF, YANG, YDK ary hanao fandaharana be dia be isika.
Amiko dia midika fa a) tsy fahamarinana tanjona, b) tsy fomba tsara indrindra tsy misy fepetra, c) ny hevitro, na dia mandritra ny hetsika manomboka amin'ny lahatsoratra voalohany ka hatramin'ny farany aza, dia afaka miova - raha ny marina, manomboka amin'ny dingana drafitra mankany amin'ny dingana farany. publication, naveriko indroa nosoratako ny zava-drehetra.

afa-po

  1. tanjona
    1. Ny tambajotra dia toy ny zavamananaina tokana
    2. Fitsapana fanamboarana
    3. versioning
    4. Fanaraha-maso sy fanasitranan-tena ny tolotra

  2. vola
    1. System inventory
    2. Rafitra fitantanana habaka IP
    3. Rafitra famaritana serivisy tambajotra
    4. Mekanisma fanombohana ny fitaovana
    5. Modely fanamafisana mpivarotra-agnostika
    6. Interface mpamily manokana amin'ny mpivarotra
    7. Mekanisma amin'ny fandefasana ny fanamafisana amin'ny fitaovana
    8. CI / CD
    9. Mekanisma ho an'ny backup sy fikarohana ny deviations
    10. Rafitra fanaraha-maso

  3. famaranana

Hiezaka ny hitarika ADSM amin'ny endrika hafa kely amin'ny SDSM aho. Mbola hisy lahatsoratra lehibe, amin'ny antsipiriany, voaisa, ary eo anelanelan'izy ireo dia hamoaka naoty kely avy amin'ny traikefa andavanandro aho. Hiezaka ny hiady amin'ny fahalavorariana eto aho fa tsy hilelaka ny tsirairay amin'izy ireo.

Tena mampihomehy fa ny fanindroany dia tsy maintsy mandalo lalana mitovy.

Tamin'ny voalohany dia tsy maintsy nanoratra lahatsoratra momba ny tambajotra ny tenako noho ny tsy fisian'izy ireo tao amin'ny RuNet.

Ankehitriny dia tsy nahita antontan-taratasy feno aho izay handamina fomba fiasa amin'ny automatique sy hamakafaka ireo teknolojia etsy ambony amin'ny fampiasana ohatra tsotra azo ampiharina.

Mety ho diso aho, ka omeo rohy mankany amin'ireo loharano mahasoa. Na izany aza, tsy hampiova ny fahatapahan-kevitro hanoratra izany, satria ny tena tanjona dia ny hianatra zavatra ny tenako, ary ny fanamorana ny fiainana ho an'ny hafa dia tombony mahafinaritra izay manafosafo ny fototarazo amin'ny fizarana traikefa.

Hiezaka izahay haka foibe angon-drakitra LAN DC midadasika ary hamolavola ny rafitra automatique manontolo.
Saika hanao zavatra vitsivitsy miaraka aminao aho.

Tsy ho tany am-boalohany aho amin'ireo hevitra sy fitaovana voalaza eto. Dmitry Figol dia manana toetra tsara fantsona misy stream momba ity lohahevitra ity.
Ny lahatsoratra dia hifanindry amin'izy ireo amin'ny lafiny maro.

Ny LAN DC dia manana DC 4, switch 250 eo ho eo, router antsasa-polo ary rindrina afo roa.
Tsy Facebook, fa ampy hahatonga anao hieritreritra lalina momba ny automatique.
Misy anefa ny hevitra fa raha manana fitaovana mihoatra ny 1 ianao dia efa ilaina ny automation.
Raha ny marina, sarotra ny mieritreritra fa misy olona afaka miaina tsy misy sora-baventy lohalika farafaharatsiny.
Na dia henoko aza fa misy birao misy ny adiresy IP ao amin'ny Excel, ary ny tsirairay amin'ireo fitaovana tambajotra an'arivony dia amboarina amin'ny tanana ary manana ny endriny manokana. Mazava ho azy fa azo adika ho zavakanto maoderina izany, fa ny fihetseham-pon'ny injeniera dia ho tafintohina tokoa.

tanjona

Izao isika dia hametraka tanjona mazava indrindra:

  • Ny tambajotra dia toy ny zavamananaina tokana
  • Fitsapana fanamboarana
  • Fanamboarana fanjakana tambajotra
  • Fanaraha-maso sy fanasitranan-tena ny tolotra

Hodinihintsika ato amin’ity lahatsoratra ity ny dikan’ny teny hampiasaina, ary hodinihintsika amin’ny an-tsipiriany ny tanjona sy ny fitaovana manaraka.

Ny tambajotra dia toy ny zavamananaina tokana

Ny fehezanteny mamaritra ny andian-dahatsoratra, na dia toa tsy dia manan-danja loatra aza izany raha vao jerena: ny tambajotra no hamboarinay fa tsy ny fitaovana tsirairay.
Tao anatin'ny taona vitsivitsy izay, nahita fiovana amin'ny fanantitranterana amin'ny fandraisana ny tambajotra ho toy ny singa tokana isika, noho izany ny Fifanarahana voafaritra amin'ny lozisialy, Intent Driven Networks и Autonomous Networks.
Rehefa dinihina tokoa, inona no ilain'ny fampiharana maneran-tany avy amin'ny tambajotra: fifandraisana eo amin'ny teboka A sy B (tsara, indraindray + B-Z) ary mitokana amin'ny fampiharana sy mpampiasa hafa.

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Ary ny andraikitsika amin'ity andiany ity dia manangana rafitra, fitazonana ny fandrindrana ankehitriny ny tambajotra manontolo, izay efa levona ho amin'ny tena firafitry ny fitaovana tsirairay mifanaraka amin'ny anjara asany sy ny toerana misy azy.
rafitra Ny fitantanana ny tambajotra dia midika fa ny fanovana dia mifandray amin'izy io, ary izy io kosa dia manao kajy ny toetry ny fitaovana tsirairay ary manamboatra azy.
Amin'izany fomba izany dia manamaivana ny fidirana amin'ny tanana amin'ny CLI ho saika aotra - ny fanovana rehetra amin'ny firafitry ny fitaovana na ny famolavolana tambajotra dia tsy maintsy atao ara-dalàna sy voarakitra an-tsoratra - ary avy eo dia alefa any amin'ireo singa ilaina amin'ny tambajotra.

Izany hoe, ohatra, raha nanapa-kevitra izahay fa manomboka izao amin'ny rack switch any Kazan dia tokony hanambara tambajotra roa fa tsy iray,

  1. Voalohany dia mirakitra ny fiovan'ny rafitra isika
  2. Mamorona ny firafitry ny kendrena amin'ny fitaovana tambajotra rehetra
  3. Mandeha ny programa fanavaozam-baovaon'ny tambazotra izahay, izay manisy kajy izay tokony esorina amin'ny node tsirairay, izay ampiana, ary mitondra ny node amin'ny fanjakana tiana.

Amin'izay fotoana izay ihany, amin'ny dingana voalohany ihany no manao fanovana amin'ny tanana.

Fitsapana fanamboarana

Fantatrafa ny 80% amin'ny olana dia mitranga mandritra ny fiovan'ny configuration - porofo ankolaka amin'izany fa mandritra ny fialantsasatry ny Taom-baovao dia milamina ny zava-drehetra.
Ny tenako manokana dia nanatri-maso fiatoana eran-tany am-polony noho ny fahadisoan'ny olombelona: ny baiko diso, ny fanamafisana dia natao tao amin'ny sampana diso, ny fiaraha-monina nanadino, ny MPLS dia noravana maneran-tany tamin'ny router, fitaovana dimy no namboarina, fa ny fahadisoana dia tsy. voamarika tamin'ny fahenina fa nisy fiovana taloha nataon'olona iray hafa. Misy scenario maromaro.

Ny automatique dia mamela antsika hanao fahadisoana vitsy kokoa, fa amin'ny ambaratonga lehibe kokoa. Amin'izany fomba izany dia afaka manao biriky tsy fitaovana iray fotsiny ianao, fa ny tambajotra manontolo indray mandeha.

Efa hatry ny ela, ny raibentsika dia nanamarina ny fahamarinan'ny fanovana natao tamin'ny maso malina, baolina vy ary ny fiasan'ny tambajotra taorian'ny nandroahana azy ireo.
Ireo raibe ireo izay nitarika ho amin'ny tsy fahampian'ny asa sy ny fatiantoka lehibe dia namela taranaka vitsy kokoa ary tokony ho faty rehefa mandeha ny fotoana, fa ny evolisiona dia dingana miadana, ary noho izany dia tsy ny rehetra no mbola mizaha fiovana ao amin'ny laboratoara aloha.
Na izany aza, eo amin'ny lohalaharana amin'ny fandrosoana dia ireo izay nanao automatique ny fizotran'ny fitsapana ny fanamafisana sy ny fampiharana azy bebe kokoa amin'ny tambajotra. Raha lazaina amin'ny teny hafa, nindramiko ny fomba fiasa CI/CD (Fampidirana tsy tapaka, Fametrahana tsy tapaka) avy amin'ny mpamorona.
Ao amin'ny iray amin'ireo ampahany dia hojerentsika ny fomba fampiharana izany amin'ny alàlan'ny rafitra fanaraha-maso version, angamba Github.

Raha vantany vao zatra ny hevitra momba ny tambajotra CI / CD ianao, dia ho toy ny tsy fahalalana tany am-boalohany ny fomba fanaraha-maso ny fanamafisana amin'ny fampiharana azy amin'ny tambajotra famokarana. Ohatry ny mamely lohan-doha amin'ny tantanana.

Fitohizan'ny hevitra organika momba ny RAFITRA Ny fitantanana tambajotra ary ny CI/CD dia lasa dikan-teny feno amin'ny fanitsiana.

versioning

Heverintsika fa amin'ny fanovana rehetra, na dia ny kely indrindra aza, na dia amin'ny fitaovana iray tsy hita maso aza, ny tambajotra iray manontolo dia mifindra avy amin'ny fanjakana iray mankany amin'ny iray hafa.
Ary tsy manatanteraka baiko foana amin'ny fitaovana izahay fa manova ny toetry ny tambajotra.
Dia aleo atao hoe version states ireo?

Andeha hatao hoe 1.0.0 ny dikan-teny ankehitriny.
Niova ve ny adiresy IP an'ny interface Loopback amin'ny iray amin'ireo ToRs? Dika kely kely ity ary homena laharana 1.0.1.
Nohavaozinay ny politikan'ny fanafarana zotra mankany amin'ny BGP - somary matotra kokoa - efa 1.1.0
Nanapa-kevitra ny hanaisotra ny IGP izahay ary hifindra amin'ny BGP ihany - efa fanovana endrika ifotony izany - 2.0.0.

Amin'izay fotoana izay ihany koa dia mety manana dikan-teny samihafa ny DC samihafa - mivoatra ny tambajotra, apetraka ny fitaovana vaovao, ampidirina any amin'ny toerana iray ny haavon'ny hazondamosina, fa tsy any amin'ny hafa, sns.

amin'ny fandikana semantika hiresaka amin'ny lahatsoratra misaraka isika.

Averiko indray - ny fanovana rehetra (afa-tsy ny baiko debugging) dia fanavaozana dikan-teny. Tsy maintsy ampahafantarina ny mpitantana ny amin'izay mety ho fiviliana amin'ny dikan-teny ankehitriny.

Toy izany koa no mihatra amin'ny fanovana fanovana - tsy fanafoanana ny baiko farany izany, tsy famerenana amin'ny fampiasana ny rafitra fiasan'ny fitaovana izany - mitondra ny tambajotra manontolo amin'ny dikan-teny vaovao (taloha).

Fanaraha-maso sy fanasitranan-tena ny tolotra

Nahatratra ambaratonga vaovao amin'ny tambajotra maoderina io asa mibaribary io.
Matetika, ny mpanome tolotra lehibe dia mandray ny fomba fa ny serivisy tsy nahomby dia mila amboarina haingana dia haingana ary atsangana ny vaovao, fa tsy mamantatra ny zava-nitranga.
Ny "tena" dia midika fa mila manara-maso ny lafiny rehetra amin'ny lafiny rehetra ianao, izay ao anatin'ny segondra dia hahita ny fivilian-dàlana kely indrindra amin'ny mahazatra.
Ary eto dia tsy ampy intsony ny metrika mahazatra, toy ny fandefasana interface tsara na ny fisian'ny node. Tsy ampy ihany koa ny fanaraha-maso azy ireo ataon’ny tompon’andraikitra.
Noho ny zavatra maro dia tokony hisy Fanasitranana tena - Nivadika mena ny jiron'ny fanaraha-maso ary nandeha izahay ary nametraka ilay lozoka izay narary.

Ary eto ihany koa no manara-maso tsy ny fitaovana tsirairay, fa ny fahasalaman'ny tambajotra iray manontolo, na whitebox, izay azo takarina, ary blackbox, izay sarotra kokoa.

Inona no ilaintsika mba hampiharana drafitra feno fatratra toy izany?

  • Manàna lisitr'ireo fitaovana rehetra ao amin'ny tambajotra, ny toerana misy azy, ny anjara asany, ny modely, ny dikan'ny rindrambaiko.
    kazan-leaf-1.lmu.net, Kazan, ravina, Juniper QFX 5120, R18.3.
  • Manana rafitra hamaritana ny serivisy tambajotra.
    IGP, BGP, L2/3VPN, Politika, ACL, NTP, SSH.
  • Afaka manomboka ny fitaovana.
    Hostname, Mgmt IP, Mgmt Route, Users, RSA-Keys, LLDP, NETCONF
  • Ampifanaraho ny fitaovana ary ento amin'ny dikan-teny tianao (anisan'izany ny taloha) ny fanitsiana.
  • Fanaovana fitiliana
  • Tsidiho tsindraindray ny satan'ny fitaovana rehetra raha misy ny fivilian-dàlana amin'ny ankehitriny ary mitatitra amin'izay tokony ho izy.
    Nandritra ny alina, nisy olona nanampy fitsipika mangina tamin'ny ACL.
  • Manara-maso ny fahombiazany.

vola

Toa saro-takarina ny fanombohana ny fandravana ny tetikasa ho singa.

Ary hisy folo amin'izy ireo:

  1. System inventory
  2. Rafitra fitantanana habaka IP
  3. Rafitra famaritana serivisy tambajotra
  4. Mekanisma fanombohana ny fitaovana
  5. Modely fanamafisana mpivarotra-agnostika
  6. Interface mpamily manokana amin'ny mpivarotra
  7. Mekanisma amin'ny fandefasana ny fanamafisana amin'ny fitaovana
  8. CI / CD
  9. Mekanisma ho an'ny backup sy fikarohana ny deviations
  10. Rafitra fanaraha-maso

Ity, teny an-dalana, dia ohatra iray amin'ny fomba niova ny fomba fijery momba ny tanjon'ny tsingerina - nisy singa 4 tao amin'ny drafitra.

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Ao amin'ilay sary dia nasehoko ny singa rehetra sy ny fitaovana.
Ny singa mifanelanelana dia mifandray amin'ny tsirairay.
Arakaraky ny lehibe kokoa ny sakana, dia tokony hojerena bebe kokoa ity singa ity.

Ampahany 1: Rafitra fanisana

Mazava ho azy fa tiantsika ny hahafantatra hoe inona ny fitaovana misy aiza, inona no mifandray.
Ny rafitra fanisana dia ampahany manan-danja amin'ny orinasa rehetra.
Matetika ny orinasa dia manana rafitra firaketana manokana ho an'ny fitaovana tambajotra, izay mamaha olana manokana.
Ao anatin'ity andian-dahatsoratra ity dia hantsoinay hoe DCIM - Fitantanana ny fotodrafitrasa ivom-pamokarana. Na dia ny teny hoe DCIM mihitsy aza, raha lazaina amin'ny fomba hentitra, dia misy zavatra maro hafa.

Ho an'ny tanjonay dia hitahiry ireto fampahalalana manaraka ireto momba ny fitaovana ao anatiny izahay:

  • Laharam-pamokarana
  • Lohateny/Famaritana
  • Modely (Huawei CE12800, Juniper QFX5120, sns.)
  • masontsivana toetra (boards, interface, sns.)
  • anjara (Leaf, Spine, Border Router, sns.)
  • Toerana (faritra, tanàna, foibe data, rack, unit)
  • Fifandraisana eo amin'ny fitaovana
  • Topolojia tambajotra

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Mazava tsara fa ny tenantsika no te hahalala izany rehetra izany.
Saingy hanampy amin'ny tanjona automatique ve izany?
Tanteraka.
Ohatra, fantatsika fa ao amin'ny foibe angon-drakitra nomena amin'ny switch Leaf, raha Huawei, ACLs hanivana ny fifamoivoizana sasany dia tokony hampiharina amin'ny VLAN, ary raha Juniper izany, dia amin'ny vondrona 0 amin'ny interface ara-batana.
Na mila manodina mpizara Syslog vaovao amin'ny sisintany rehetra ao amin'ny faritra ianao.

Ao anatin'izany no hitehirizana fitaovana tambajotra virtoaly, ohatra ny router virtoaly na ny fakana faka. Afaka manampy mpizara DNS, NTP, Syslog ary amin'ny ankapobeny ny zavatra rehetra mifandraika amin'ny tambajotra amin'ny fomba iray na hafa.

Ampahany 2: Rafitra fitantanana habaka IP

Eny, ary amin'izao fotoana izao dia misy ekipa olona manara-maso ny prefixes sy ny adiresy IP ao anaty rakitra Excel. Saingy ny fomba fiasa maoderina dia mbola angon-drakitra, miaraka amin'ny front-end amin'ny nginx/apache, API ary fiasa midadasika amin'ny firaketana ny adiresy IP sy ny tambajotra mizara ho VRF.
IPAM - Fitantanana adiresy IP.

Ho an'ny tanjonay dia hitahiry ireto fampahalalana manaraka ireto ao anatiny izahay:

  • VLANs
  • VRF
  • Tambajotra/Subnets
  • adiresy IP
  • Adiresy mamatotra amin'ny fitaovana, tambajotra amin'ny toerana ary laharana VLAN

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Averina indray, mazava ho azy fa te-hahazo antoka izahay fa rehefa manome adiresy IP vaovao ho an'ny loopback ToR, dia tsy ho tafintohina amin'ny hoe efa voatendry ho an'olona izany. Na koa hoe nampiasa tovana mitovy indroa izahay tamin'ny faran'ny tambajotra.
Ahoana anefa no manampy amin'ny automation?
Mora foana.
Mangataka prefix ao amin'ny rafitra miaraka amin'ny anjara Loopbacks izahay, izay misy adiresy IP azo omena - raha hita izany dia atolotray ny adiresy, raha tsy izany, dia mangataka ny famoronana prefix vaovao izahay.
Na amin'ny famoronana fitaovana fanamafisam-peo, dia afaka mahita avy amin'ny rafitra iray ihany izay tokony hisy ny interface VRF.
Ary rehefa manomboka mpizara vaovao, ny script dia miditra ao amin'ny rafitra, mahita hoe iza no mifamadika ny mpizara, izay seranan-tsambo ary iza no subnet voatendry amin'ny interface - ary hanome ny adiresy mpizara avy aminy.

Izany dia maneho ny faniriana hanambatra ny DCIM sy ny IPAM ho rafitra iray mba tsy hanaovan'ny roa tonta ny asany ary tsy hanompo sampana roa mitovy.
Izany no hataontsika.

Singa 3. Rafitra fanoritsoritana ny serivisy tambajotra

Raha toa ny rafitra roa voalohany dia mitahiry fari-pitsipika izay mbola mila ampiasaina amin'ny fomba ahoana, ny fahatelo dia mamaritra ny andraikitry ny fitaovana tsirairay ny fomba tokony hamboarina azy.
Ilaina ny manavaka karazana serivisy roa samihafa:

  • fotodrafitrasa
  • mpanjifa.

Ny voalohany dia natao hanomezana fifandraisana fototra sy fanaraha-maso ny fitaovana. Anisan'izany ny VTY, SNMP, NTP, Syslog, AAA, protocols routing, CoPP, sns.
Ity farany dia mandamina ny serivisy ho an'ny mpanjifa: MPLS L2/L3VPN, GRE, VXLAN, VLAN, L2TP, sns.
Mazava ho azy fa misy ihany koa ny tranga sisintany - aiza no ahitana MPLS LDP, BGP? Eny, ary azo ampiasaina amin'ny mpanjifa ny protocols routing. Tsy zava-dehibe anefa izany.

Ireo karazana serivisy roa ireo dia simba amin'ny config primitives:

  • fifandraisana ara-batana sy lojika (tag/anteg, mtu)
  • Adiresy IP sy VRF (IP, IPv6, VRF)
  • ACLs sy ny politikan'ny fanodinana fifamoivoizana
  • Protocols (IGP, BGP, MPLS)
  • Politika zotra (lisitra tovana, vondrom-piarahamonina, sivana ASN).
  • Serivisy fampiasa (SSH, NTP, LLDP, Syslog...)
  • Sns

Ahoana marina no hanaovanay an’izany, tsy haiko aloha. Hodinihintsika ao amin'ny lahatsoratra misaraka izany.

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Raha akaiky kokoa ny fiainana, dia afaka mamaritra izany isika
Ny switch Leaf dia tsy maintsy manana session BGP miaraka amin'ny switch Spine rehetra mifandray, manafatra tambajotra mifandray amin'ny dingana, ary manaiky tambajotra avy amin'ny prefix sasany avy amin'ny Spine switch. Fepetra ho 6 pps ny CoPP IPv10 ND, sns.
Ho setrin'izany, ny hazondamosina dia mitazona fotoam-pivoriana miaraka amin'ireo fitarihana mifandray rehetra, miasa ho toy ny taratry ny fakany, ary tsy manaiky afa-tsy lalana amin'ny halavany sy miaraka amin'ny vondrom-piarahamonina iray.

Ampahany 4: Mekanisma fanombohana ny fitaovana

Eo ambanin'ity lohateny ity dia manambatra ny hetsika tsy maintsy hitranga aho mba hisian'ny fitaovana iray hiseho amin'ny radara sy hidirana lavitra.

  1. Ampidiro ao amin'ny rafitra famoriam-bola ny fitaovana.
  2. Mifidiana adiresy IP fitantanana.
  3. Mametraha fidirana fototra amin'izany:
    Anarana mpampiantrano, adiresy IP fitantanana, lalana mankany amin'ny tambajotra fitantanana, mpampiasa, lakile SSH, protocols - telnet/SSH/NETCONF

Misy fomba telo:

  • Vita tanana tanteraka ny zava-drehetra. Ny fitaovana dia nentina teo amin'ny fijoroana, izay misy olon-tsotra organika hiditra ao amin'ny rafitra, mifandray amin'ny console ary manamboatra azy. Afaka miasa amin'ny tambajotra static kely.
  • ZTP - Zero Touch Provisioning. Tonga ny fitaovana, nitsangana, nahazo adiresy tamin'ny DHCP, nankany amin'ny lohamilina manokana, ary nanamboatra ny tenany.
  • Ny fotodrafitrasan'ny mpizara console, izay misy ny fanamafisana voalohany amin'ny alàlan'ny seranan-tsambo console amin'ny fomba mandeha ho azy.

Hiresaka momba azy telo ireo isika ao amin'ny lahatsoratra misaraka.

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Ampahany 5: Modely fanamboarana agnostika mpivarotra

Hatramin'izao, ny rafitra rehetra dia paty tsy mitovy izay manome fari-pahalalana sy famaritana manambara ny zavatra tiantsika ho hita ao amin'ny tambajotra. Saingy na ho ela na ho haingana, dia tsy maintsy miatrika manokana ianao.
Amin'ity dingana ity, ho an'ny fitaovana manokana tsirairay, ny primitives, ny serivisy ary ny variables dia atambatra ho modely fanamafisam-peo izay tena mamaritra ny fanamafisana tanteraka ny fitaovana iray manokana, amin'ny fomba tsy miandany amin'ny mpivarotra.
Inona no ataon'ity dingana ity? Nahoana no tsy mamorona avy hatrany ny fitaovana izay azonao ampidirina fotsiny?
Raha ny marina dia mamaha olana telo izany:

  1. Aza mampifanaraka amin'ny interface manokana hifandraisana amin'ilay fitaovana. Na CLI, NETCONF, RESTCONF, SNMP - hitovy ny modely.
  2. Aza tazonina araka ny isan'ny mpivarotra ao amin'ny tambajotra ny isan'ny môdely/scripts, ary raha miova ny endrika dia ovay izany amin'ny toerana maromaro.
  3. Ampidiro ny fanamafisana avy amin'ny fitaovana (backup), apetraho amin'ny maodely mitovy tanteraka ary ampitahao mivantana ny fikajiana kendrena amin'ny efa misy mba kajy ny delta ary manomana patch fanamafisana izay hanova ireo ampahany ilaina na hamantarana ny fivilian-dàlana.

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Vokatr'ity dingana ity dia mahazo config tsy miankina amin'ny mpivarotra izahay.

Endri-javatra 6. Interface mpamily manokana amin'ny mpivarotra

Tsy tokony handrava ny tenanao amin'ny fanantenana ianao fa indray andro any dia ho azo atao ny manamboatra ciska amin'ny fomba mitovy amin'ny Juniper, amin'ny alàlan'ny fandefasana antso mitovy amin'izy ireo fotsiny. Na dia eo aza ny fitomboan'ny lazan'ny whiteboxes sy ny firongatry ny fanohanana ny NETCONF, RESTCONF, OpenConfig, ny votoaty manokana aterin'ireo protocols ireo dia tsy mitovy amin'ny mpivarotra amin'ny mpivarotra, ary izany no iray amin'ireo tsy fitovizan'izy ireo amin'ny fifaninanana izay tsy ho kivy mora foana.
Izany dia mitovy amin'ny OpenContrail sy OpenStack, izay manana RestAPI ho interface tsara NorthBound, manantena antso hafa tanteraka.

Noho izany, amin'ny dingana fahadimy, ny modely tsy miankina amin'ny mpivarotra dia tsy maintsy maka ny endrika izay halehany amin'ny fitaovana.
Ary eto dia tsara ny fomba rehetra (tsy): CLI, NETCONF, RESTCONF, SNMP tsotra.

Noho izany dia mila mpamily izay hamindra ny vokatry ny dingana teo aloha amin'ny endrika takiana amin'ny mpivarotra iray manokana: andiana baiko CLI, rafitra XML.

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Singa 7. Mekanisma amin'ny fandefasana ny fandrindrana ny fitaovana

Namorona ny fanamafisana izahay, saingy mbola mila aterina amin'ny fitaovana - ary mazava ho azy fa tsy amin'ny tanana.
Voalohany, isika dia miatrika ny fanontaniana hoe inona no fitaterana hampiasainay? Ary ankehitriny ny safidy dia tsy kely intsony:

  • CLI (telnet, ssh)
  • SNMP
  • NETCONF
  • RESTCONF
  • HAFA API
  • OpenFlow (na dia hafahafa aza izy io satria fomba iray handefasana FIB fa tsy fanovana)

Andeha hojerentsika eto ny t. CLI dia lova. SNMP... kohaka kohaka.
Mbola biby tsy fantatra ny RESTCONF; saika tsy misy tohana ny API REST. Noho izany, hifantoka amin'ny NETCONF amin'ny andiany isika.

Raha ny marina, araka ny efa azon'ny mpamaky, amin'izao fotoana izao dia efa nanapa-kevitra momba ny interface izahay - ny vokatry ny dingana teo aloha dia efa aseho amin'ny endrika interface tsara izay nofidiana.

Faharoa, ary fitaovana inona no hanaovana izany?
Misy safidy lehibe ihany koa eto:

  • Skripta na sehatra nosoratan-tena. Andao isika hampiam-piadiana amin'ny ncclient sy asyncIO ary hanao ny zava-drehetra. Ohatrinona ny vidinay amin'ny fananganana rafitra fametrahana hatramin'ny voalohany?
  • Azo atao miaraka amin'ny tranomboky manankarena amin'ny maody tambajotra.
  • Salt miaraka amin'ny asa kely miaraka amin'ny tambajotra sy ny fifandraisana amin'i Napalm.
  • Raha ny marina, Napalm, izay mahafantatra mpivarotra roa ary izay no izy, veloma.
  • Nornir dia biby iray hafa hovahantsika amin'ny ho avy.

Eto dia tsy mbola voafantina ny ankafizina - hotadiavina isika.

Inona koa no zava-dehibe eto? Ny vokatry ny fampiharana ny configuration.
Na mahomby na tsia. Mbola misy ve ny fidirana amin'ny fitaovana sa tsia?
Toa ny commit dia hanampy eto amin'ny fanamafisana sy fanamarinana ny zavatra nalaina tamin'ny fitaovana.
Izany, miaraka amin'ny fampiharana marina an'ny NETCONF, dia mampihena be ny isan'ny fitaovana mety - tsy maro ny mpanamboatra manohana ny fanoloran-tena mahazatra. Iray amin'ireo fepetra takiana ihany anefa izany RFP. Amin'ny farany, tsy misy manahy fa tsy misy mpivarotra Rosiana iray hanaraka ny fepetra interface tsara 32 * 100GE. Sa manahy izy?

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Ampahany 8. CI/CD

Amin'izao fotoana izao, efa vonona ny fanamafisana ho an'ny fitaovana tambajotra rehetra.
Manoratra "ho an'ny zava-drehetra" aho satria miresaka momba ny fanovana ny toetry ny tambajotra isika. Ary na dia mila manova ny fikandrana iray monja aza ianao, dia kajy ho an'ny tambajotra manontolo ny fanovana. Mazava ho azy fa mety ho aotra izy ireo ho an'ny ankamaroan'ny nodes.

Saingy, araka ny efa voalaza etsy ambony, isika dia tsy karazana barbariana te-hanaodina ny zava-drehetra mivantana amin'ny famokarana.
Tsy maintsy mandalo amin'ny Pipeline CI/CD aloha ny fikirakirana vokarina.

CI/CD dia mijoro ho Continuous Integration, Continuous Deployment. Ity dia fomba iray izay tsy ahafahan'ny ekipa mamoaka famotsorana lehibe vaovao isaky ny enim-bolana, manolo tanteraka ny taloha, fa mampihatra tsy tapaka (Deployment) fiasa vaovao amin'ny ampahany kely, izay samy voasedra tanteraka amin'ny fifanarahana, fiarovana ary fampisehoana (Integration).

Mba hanaovana izany, manana rafitra fanaraha-maso ny dikan-teny manara-maso ny fiovan'ny fanovana, laboratoara manamarina raha tapaka ny serivisy mpanjifa, rafitra fanaraha-maso izay manamarina izany zava-misy izany, ary ny dingana farany dia ny fanovana ny tambajotra famokarana.

Ankoatra ny baiko debugging, ny fanovana rehetra amin'ny tambajotra dia tsy maintsy mandalo amin'ny CI/CD Pipeline - izany no antoky ny fiainana mangina sy asa maharitra sy sambatra.

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Singa 9. Rafitra fitadiavam-batsy sy tsy fahita firy

Eny, tsy ilaina ny miresaka momba ny backups indray.
Hapetrakay ao anaty git fotsiny izy ireo araka ny satroboninahitra na amin'ny fiovan'ny fanovana.

Saingy ny ampahany faharoa dia mahaliana kokoa - tokony hisy hanara-maso ireo backup ireo. Ary amin'ny toe-javatra sasany, ity olona ity dia tsy maintsy mandeha ary mamadika ny zava-drehetra ho toy ny teo aloha, ary amin'ny hafa, miohoka amin'ny olona iray fa misy zavatra tsy mety.
Ohatra, raha misy mpampiasa vaovao izay tsy voasoratra ao amin'ny variables, dia mila manala azy amin'ny hack ianao. Ary raha tsara kokoa ny tsy hikasika fitsipika firewall vaovao, dia mety ho olona iray fotsiny no nanokatra ny debugging, na angamba ny serivisy vaovao, bungler, dia tsy nisoratra anarana araka ny fitsipika, fa ny olona dia efa nanatevin-daharana azy.

Mbola tsy ho afa-mandositra ny delta kely izahay amin'ny haavon'ny tambajotra iray manontolo, na dia eo aza ny rafitra automatique sy ny tanana matanjaka amin'ny fitantanana. Mba hanesorana ny olana dia tsy misy olona hanampy ny rafitra na izany aza. Ankoatra izany, mety tsy ho tafiditra ao amin'ny modely fanamafisam-peo aza izy ireo.

Ohatra, ny fitsipiky ny firewall amin'ny fanisana ny isan'ny packet isaky ny IP manokana mba hametrahana olana dia fanamafisam-peo vonjimaika tanteraka.

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Singa 10. Rafitra fanaraha-maso

Tamin'ny voalohany dia tsy hiresaka momba ny fanaraha-maso aho - mbola lohahevitra be dia be, mampiady hevitra ary sarotra. Saingy rehefa nandeha ny zava-drehetra, dia hita fa ampahany manan-danja amin'ny automation izany. Ary tsy azo atao ny mandingana izany, na dia tsy misy fanazaran-tena aza.

Ny Evolving Thought dia ampahany organika amin'ny fizotry ny CI/CD. Aorian'ny fanodikodinana ny fanamafisam-peo mankany amin'ny tambajotra dia mila mahafantatra isika raha mety amin'izao fotoana izao ny zava-drehetra.
Ary tsy miresaka momba ny fandaharam-potoana fampiasana interface tsara na ny fisian'ny node isika, fa momba ny zavatra manjavozavo kokoa - ny fisian'ny lalana ilaina, ny toetrany, ny isan'ny fivoriana BGP, ny mpiara-monina OSPF, ny fampisehoana End-to-End. amin'ny serivisy tafahoatra.
Nitsahatra niampy ve ny syslogs amin'ny mpizara ivelany, sa simba ny mpiasan'ny SFlow, sa nanomboka nitombo ny firotsahan'ny filaharana, sa tapaka ny fifandraisana misy eo amin'ny prefix sasany?

Hodinihintsika ao amin’ny lahatsoratra mitokana izany.

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

Automation ho an'ny kely. Fizarana aotra. FANDRINDRAMPITERAHANA

famaranana

Amin'ny maha-fototra azy dia nisafidy ny iray amin'ireo endrika tambajotra maoderina aho - L3 Clos Fabric miaraka amin'ny BGP ho protocol routing.
Amin'ity indray mitoraka ity dia hanangana ny tambajotra amin'ny Juniper isika, satria vanlove izao ny interface JunOs.

Andao hanasarotra kokoa ny fiainantsika amin'ny alàlan'ny fampiasana fitaovana Open Source sy tambajotra mpivarotra marobe - koa ankoatra an'i Juniper dia hifidy olona tsara vintana iray aho eny an-dalana.

Ny drafitra ho an'ny famoahana ho avy dia toy izao:
Hiresaka momba ny tambajotra virtoaly aho aloha. Voalohany indrindra, satria tiako, ary faharoa, satria raha tsy misy izany dia tsy ho mazava tsara ny famolavolana ny tambajotran'ny fotodrafitrasa.
Avy eo momba ny famolavolana tambajotra mihitsy: topology, routing, politika.
Andao hanangona toeram-pitsaboana laboratoara.
Andeha hojerentsika izany ary mety hampihatra ny fanombohana ny fitaovana amin'ny tambajotra.
Ary avy eo momba ny singa tsirairay amin'ny antsipiriany akaiky.

Ary eny, tsy mampanantena aho fa hamarana amim-pahamendrehana ity tsingerina ity amin'ny vahaolana efa vita. 🙂

rohy mahasoa

  • Alohan'ny handinihana ny andian-tantara dia mendrika ny mamaky ny bokin'i Natasha Samoilenko Python for Network Engineers. Ary mety mandalo Mazava ho azy fa.
  • Hahasoa koa ny mamaky RFC momba ny famolavolan'ny orinasa ivontoerana data avy amin'ny Facebook nataon'i Peter Lapukhov.
  • Ny antontan-taratasy momba ny maritrano dia hanome anao hevitra momba ny fomba fiasan'ny Overlay SDN. Lamba Tungstène (Open Contrail taloha).
Misaotra anao

Roman Gorge. Ho an'ny fanehoan-kevitra sy fanitsiana.
Artyom Chernobay. Ho an'ny KDPV.

Source: www.habr.com

Add a comment