Ny karatra fandraharahako dia mampiasa Linux

Fandikana lahatsoratra avy amin'ny bilaogy injeniera George Hilliard

Ny karatra fandraharahako dia mampiasa Linux
azo kitihina

Injeniera momba ny rafitra aho. Amin'ny fotoana malalaka, matetika aho no mitady zavatra azo ampiasaina amin'ny famolavolana rafitra ho avy, na zavatra avy amin'ny tombontsoako.

Iray amin'ireo faritra ireo ny solosaina mora afaka mampiasa Linux, ary ny mora kokoa no tsara kokoa. Noho izany dia nandavaka lavaka bitro lalina misy mpanodina tsy fantatra.

Nieritreritra aho hoe: β€œTena mora ireny processeur ireny ka azo omena maimaim-poana.” Ary rehefa afaka kelikely dia tonga tao amiko ny hevitra hanao karatra miboridana ho an'ny Linux amin'ny endrika endrika karatra fandraharahana.

Raha vao nieritreritra an'izany aho dia nanapa-kevitra fa tena zavatra mahafinaritra ny atao. efa manana aho tsofa elektronika karatra fandraharahana Π΄ΠΎ izany, ary nanana fahaiza-manao mahaliana isan-karazany izy ireo, toy ny fakan-tahaka karatra tselatra, takamoa mamiratra, na fampitana angon-drakitra tsy misy finday mihitsy aza. Na izany aza, tsy nahita karatra fandraharahana misy fanohanana Linux aho.

Ka nanao ny tenako ho iray aho.

Ity no dikan-teny vita amin'ny vokatra. Solosaina ARM faran'izay kely indrindra mampiasa ny dikan-teny mahazatra an'ny Linux namboarina tamin'ny Buildroot.

Ny karatra fandraharahako dia mampiasa Linux

Misy seranan-tsambo USB eo an-jorony. Raha ampifandraisinao amin'ny solosaina izany dia mandeha ao anatin'ny 6 segondra eo ho eo izy ary hita ho toy ny karatra tselatra sy seranan-tsambo virtoaly izay ahafahanao miditra ao amin'ny akoran'ny karatra. Ao amin'ny kapila tselatra dia misy rakitra README, dika mitovy amin'ny resadresaka ary sary maromaro momba ahy. Ny akorandriaka dia manana lalao maromaro, Unix mahazatra toy ny harena sy ny rogue, dikan-teny kely amin'ny lalao 2048 ary mpandika teny MicroPython.

Izany rehetra izany dia atao amin'ny fampiasana puce flash kely 8 MB. Ny bootloader dia mifanaraka amin'ny 256 KB, ny kernel dia mandray 1,6 MB, ary ny rafitra fichier root iray manontolo dia mahatratra 2,4 MB. Noho izany, be dia be ny toerana ho an'ny virtoaly flash drive. Misy ihany koa ny lisitry ny trano izay azo soratana raha misy olona manao izay tiany hotehirizina. Voatahiry ao anaty puce flash ihany koa izany rehetra izany.

Latsaky ny $3 ny fitaovana iray manontolo. Mora ny manome. Raha nahazo fitaovana toy izany avy amiko ianao dia midika izany fa tena miezaka ny hampiaiky volana anao aho.

Mamolavola sy manorina

Izaho no nanamboatra sy nanangona ny zava-drehetra. Asako izany ary tiako izany, ary ny ankamaroan'ny fanamby dia ny fitadiavana ampahany mora ho an'ny fialamboly.

Ny safidy ny processeur no fanapahan-kevitra manan-danja indrindra misy fiantraikany amin'ny vidiny sy ny fahafahan'ny tetikasa. Taorian'ny fikarohana lalina dia nisafidy ny F1C100s aho, processeur iray tsy dia fantatra loatra avy amin'ny Allwinner izay lafo vidy (izany hoe, mora vidy). Samy ao anaty fonosana iray ihany ny RAM sy ny CPU. Nividy processeur tao Taobao aho. Ny singa hafa rehetra dia novidina tamin'ny LCSC.

Nanafatra ny boards avy amin'ny JLC aho. Nanao kopia 8 ho ahy izy ireo tamin'ny $10. Mahavariana ny kalitaon'izy ireo, indrindra amin'ny vidiny; tsy milamina toy ny an'ny OSHPark, fa mbola mijery tsara.

Nataoko mainty matte ny andiany voalohany. Tsara tarehy izy ireo, nefa mora voaloto.

Ny karatra fandraharahako dia mampiasa Linux

Nisy olana roa tamin'ny andiany voalohany. Voalohany, tsy naharitra ela ny mpampitohy USB mba hifanaraka tsara amin'ny seranana USB rehetra. Faharoa, tsy nety ny nanaovana ny tselatra, saingy nandΓ  izany aho tamin'ny fanetsiketsehana ny fifandraisana.

Ny karatra fandraharahako dia mampiasa Linux

Rehefa avy nanamarina fa miasa ny zava-drehetra, dia nanafatra andian-kazo vaovao aho; Azonao jerena ny sarin'ny iray amin'izy ireo eo am-piandohan'ny lahatsoratra.

Noho ny habe kely amin'ireo singa kely rehetra ireo, dia nanapa-kevitra ny hampiasa ny famandrihana reflow aho fatana mora. Afaka mampiasa laser cutter aho, noho izany dia nampiasako izany mba hanapahana stencil soldering amin'ny sarimihetsika laminator. Nanjary tsara ny stencil. Ny lavaka savaivony 0,2 mm ho an'ny fifandraisana amin'ny processeur dia nitaky fikarakarana manokana mba hiantohana ny famokarana avo lenta - tena ilaina ny fifantohana tsara amin'ny laser sy ny fisafidianana ny heriny.

Ny karatra fandraharahako dia mampiasa Linux
Ny boards hafa dia miasa tsara mba hitazonana ny solaitrabe rehefa manosotra paste.

Nametraka pasteur solder aho ary nametraka ireo singa tamin'ny tanana. Nataoko antoka fa tsy ampiasaina na aiza na aiza amin'ny dingana ny firaka - ny boards, ny singa ary ny paste dia mahafeno ny fenitra RoHS - mba tsy hampijaly ahy ny eritreritro rehefa mizara izany amin'ny olona aho.

Ny karatra fandraharahako dia mampiasa Linux
Nanao hadisoana kely aho tamin'ity andiany ity, saingy mamela ny fahadisoana ny pasteur solder, ary nandeha tsara ny zava-drehetra

Naharitra 10 segondra teo ho eo ny singa tsirairay tamin'ny fametrahana azy, noho izany dia niezaka nitazona ny isan'ny singa ho faran'izay kely aho. Ny antsipiriany bebe kokoa momba ny famolavolana sarintany dia azo vakiana amin'ny hafa lahatsoratra amin'ny antsipiriany.

Lisitry ny fitaovana sy ny vidiny

Nifikitra tamin'ny tetibola henjana aho. Ary ny karatra fandraharahana dia nivadika araka ny nokasaina - tsy mampaninona ahy ny manome azy! Mazava ho azy fa tsy hanome izany ho an'ny rehetra aho, satria mila fotoana ny fanaovana kopia tsirairay, ary ny fotoanako dia tsy raisina amin'ny vidin'ny karatra fandraharahana (karazana maimaim-poana izany).

singa fototra
vidiny

F1C100s
$1.42

PCB
$0.80

8MB flash
$0.17

Ny singa hafa rehetra
$0.49

Π˜Ρ‚ΠΎΠ³ΠΎ
$2.88

Mazava ho azy fa misy ihany koa ny fandaniana sarotra kajy, toy ny fanaterana (satria zaraina amin'ireo singa natao ho an'ny tetikasa maromaro). Na izany aza, ho an'ny birao iray izay manohana Linux dia azo antoka fa mora izany. Ity fahapotehana ity koa dia manome hevitra tsara momba ny vidin'ny orinasa amin'ny fanamboarana fitaovana amin'ny vidiny ambany indrindra: azonao antoka fa ny vidin'ny orinasa dia latsaka noho ny vidiny!

fahafahana

Inona no holazaina? Ny karatra dia mametraka Linux be dia be ao anatin'ny 6 segondra. Noho ny endri-javatra sy ny vidiny, ny karatra dia tsy manana I/O, fanohanana tambajotra, na misy be dia be ny fitehirizana mba hampandehanana fandaharana mavesatra. Na izany aza, nahavita nanangona zavatra mahaliana maro tao amin'ny sary firmware aho.

USB

Betsaka ny zavatra mahafinaritra azo atao amin'ny USB, saingy nisafidy ny safidy tsotra indrindra aho mba hahafahan'ny olona miasa kokoa raha manapa-kevitra ny hanandrana ny kara-pandraharahako. Linux dia mamela ny karatra ho toy ny "fitaovana" misy fanohanana Gadget Framework. Nalaiko ny sasany tamin'ireo mpamily avy amin'ny tetikasa teo aloha izay nahitana an'ity processeur ity, noho izany dia afaka miditra amin'ny fampiasa rehetra amin'ny rafitra USB gadget aho. Nanapa-kevitra ny haka ny kapila tselatra efa novokarina aho ary hanome fidirana amin'ny akorandriaka amin'ny alΓ lan'ny seranan-tsambo virtoaly.

akorandriaka

Aorian'ny fidirana amin'ny maha-root anao dia azonao atao ny mampandeha ireto programa manaraka ireto amin'ny console serial:

  • rogue: lalao fitsangatsanganana Unix fonja mandady;
  • 2048: lalao tsotra 2048 amin'ny fomba fampiononana;
  • harena: vokatra avy amin'ny teny mody isan-karazany. Nanapa-kevitra ny tsy hampiditra ny angon-drakitra rehetra eto aho mba hamela toerana ho an'ny endri-javatra hafa;
  • micropython: Mpikabary Python kely dia kely.

Flash Drive Emulation

Mandritra ny fanangonana, ny fitaovana fananganana dia mamorona sary FAT32 kely ary ampio ho iray amin'ireo fizarazarana UBI. Ny Linux Gadget Subsystem dia manolotra ny PC-ny ho fitaovana fitahirizana.

Raha liana amin'ny fijerena izay miseho amin'ny kapila tselatra ianao, ny fomba tsotra indrindra hanaovana izany dia ny famakiana loharanom-baovao. Misy ihany koa ny sary maromaro sy ny resume.

loharanon-karena

loharanom-baovao

Ny hazo Buildroot dia navoaka tao amin'ny GitHub - telopolo amby telopolo/businesscard-linux. Misy kaody hamoronana sary tselatra NOR, izay apetraka amin'ny alΓ lan'ny fomba fampidinana USB an'ny processeur. Izy io koa dia manana ny famaritana fonosana rehetra ho an'ny lalao sy programa hafa izay natosiko tao amin'ny Buildroot rehefa vitako ny asa rehetra. Raha liana amin'ny fampiasana ny F1C100s amin'ny tetikasanao ianao dia mety ho fanombohana tsara izany (aza misalasala anontanio aho).
nampiasako tetikasa tsara notanterahina Linux v4.9 ho an'ny F1C100s nataon'i Icenowy, novolavolaina kely. Ny karatro dia mandeha saika manara-penitra v5.2. Ao amin'ny GitHub izy io - telopolo amby telopolo/linux.
Heveriko fa manana seranan-tsambo U-Boot tsara indrindra ho an'ny F1C100s eto amin'izao tontolo izao aho ankehitriny, ary mifototra amin'ny asan'i Icenowy ihany koa izy io (mahagaga fa asa mahasosotra ny fahazoana U-Boot hiasa tsara). Azonao atao ihany koa ny mahazo azy ao amin'ny GitHub - telopolo amby telopolo/u-boot.

Takelaka data F1C100

Nahita antontan-taratasy kely aho momba ny F1C100s, ary apetrako eto:

  • Takelaka data F1C100S - fampahalalana ankapobeny sy pinout.
  • Takelaka data Allwinner F1C600 – Soraty ny famaritana ny F1C600, izay mitovy amin'ny F1C100, saingy novana anarana tamin'ny fanohanana Linux (ha!).
  • Nazoto nindrana fampahalalana avy amin'ny kisary avy amin'ny Sipeed's Lichee Nano – ny tabilao fampivoarana izay nampiasaiko hanamboarana ny rindrambaiko.

Alefako ho an'izay liana. diagram ny tetikasako.

Ny karatra fandraharahako dia mampiasa Linux

famaranana

Nianatra zavatra betsaka aho nandritra ny fampivoarana ity tetikasa ity - io no tetikasako voalohany nampiasa lafaoro fametahana reflow. Nianarako ihany koa ny fomba fitadiavana loharano ho an'ny singa misy tahirin-kevitra ratsy.

Nampiasa ny traikefako efa misy aho tamin'ny Linux sy ny traikefa fampandrosoana board. Tsy misy lesoka ny tetikasa, fa mampiseho tsara ny fahaizako rehetra.

Ho an'ireo izay liana amin'ny antsipirihan'ny fiaraha-miasa amin'ny Linux tafiditra, dia manoro hevitra aho hamaky ny andian-dahatsoratra momba izany: Mifehy ny Linux Embedded. Eto aho dia miresaka amin'ny antsipiriany momba ny fomba hamoronana rindrambaiko sy fitaovana avy amin'ny scratch ho an'ny rafitra Linux kely sy mora, mitovy amin'ny karatra fiantsoako.

Source: www.habr.com

Add a comment