Resadresaka: Ny tetikasa OpenROAD dia mikasa ny hamaha ny olan'ny automatique ny famolavolana processeur

Resadresaka: Ny tetikasa OpenROAD dia mikasa ny hamaha ny olan'ny automatique ny famolavolana processeur
--ΠžΡ‚ΠΎ - Pexels β€” CC BY

amin'ny tahirin-kevitra PWC, ny tsenan'ny teknolojia semiconductor dia mitombo - tamin'ny taon-dasa dia nahatratra $ 481 lavitrisa. Saingy ny taham-pitombony vao haingana nihena. Ny anton'ny fihenan'ny dia ahitana ny fizotry ny famolavolana fitaovana misavoritaka sy ny tsy fahampian'ny automatique.

Taona vitsy lasa izay, injeniera avy amin'ny Intel nanoratrafa rehefa mamorona microprocessor avo lenta dia tsy maintsy mampiasa fitaovana rindrambaiko 100-150 misaraka ianao (Eda). Mety hiharatsy ny toe-javatra raha ny fitaovana tsy mitovy, ny maritrano izay ahitana karazana chips maro samihafa - ASIC, FPGA, CPU na GPU. Vokatr'izany dia misy ny fahadisoana amin'ny famolavolana izay manemotra ny famoahana ny vokatra.

Na dia betsaka aza ny fitaovana fanampiny, dia mbola voatery manao asa tanana ny injeniera. Ireo mpanoratra ny boky "Advanced Logic Synthesis"Milaza izy ireo fa indraindray mpamorona tsy maintsy manorata soratra amin'ny Skill na Python misy andalana roa tapitrisa mba hamoronana tranomboky sela.

Ny script dia nosoratana ihany koa mba handinihana ny tatitra novokarin'ny rafitra EDA. Rehefa mamolavola puce mampiasa teknolojia fizotry ny 22nm, ireo tatitra ireo dia mety haharitra hatramin'ny 30 terabytes.

Nanapa-kevitra ny DARPA hanitsy ny toe-draharaha ary hiezaka hanara-penitra ny fizotran'ny famolavolana. Ao amin'ny masoivoho koa Dinihofa efa lany andro ny fomba fanamboarana chips efa misy. fikambanana nanomboka programa dimy taona OpenROAD, izay mikendry ny hamolavola fitaovana vaovao hanamarihana ny fizotran'ny famolavolana chip.

Karazana fandaharana inona

Ny fandaharan'asa dia misy tetikasa maromaro izay mampiasa ny fianarana milina sy ny teknolojia rahona hanamarihana ny dingana tsirairay amin'ny famoronana chip. Ao anatin'ny hetsika novolavolaina (sary 1) zavamaneno mihoatra ny folo. Avy eo dia hiresaka amin'ny antsipiriany bebe kokoa momba ny sasany amin'izy ireo isika: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner dia fitaovana fitantanana ny tranomboky RTL sy GDSII. Ity farany dia rakitra angon-drakitra izay fenitra indostrialy amin'ny fifanakalozana vaovao momba ny circuit integrated sy ny topologie. Ny vahaolana dia mifototra amin'ny teknolojia Docker container. Azonao atao ny mihazakazaka Flow Runner na amin'ny rahona na eo an-toerana. Ny torolΓ lana fametrahana dia ao amin'ny tahiry ofisialy amin'ny GitHub.

Soloy dia vahaolana rahona mifototra amin'ny fianarana milina, izay tompon'andraikitra amin'ny fametrahana singa eo amin'ny puce sy ny automating routing. ny angona sasany, ny algorithm manan-tsaina dia mampitombo ny fahombiazan'ny fitaovana amin'ny 2-10% raha oharina amin'ny rafitra klasika. Ankoatr'izay, ny fampiharana amin'ny rahona dia manamora ny fanamafisam-peo. Misy ihany koa ny torolalana momba ny fametrahana sy ny fanamboarana ao amin'ny repository.

TritonCTS - fitaovana ampiasaina amin'ny fanatsarana ny famantaran'ny famantaranandro omena ny puce. Manampy amin'ny fitarihana famantarana famantaranandro mankany amin'ny faritra rehetra amin'ny fitaovana miaraka amin'ny fahatarana mitovy. Ny fitsipiky ny asa dia mifototra amin'ny H-hazo. Ity fomba fiasa ity mitombo ny ny fahombiazan'ny fizarana famantarana amin'ny 30% raha oharina amin'ny fomba nentim-paharazana. Nilaza ny mpamorona fa amin'ny ho avy io tarehimarika io dia azo ampitomboina ho 56%. TritonCTS source code sy scripts misy amin'ny GitHub.

OpenSTA - motera ho an'ny famakafakana ny fotoana static. Manome fahafahana ny mpamorona hanamarina ny fiasan'ny puce alohan'ny hanangonana azy. Ohatra code amin'ny OpenSTA mitovy toa izao.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Ny fitaovana dia manohana ny famaritana netlist momba ny kaody Verilog, tranomboky endrika Liberty, rakitra SDC, sns.

Tombontsoa sy tsy fitoviana

Manampahaizana avy amin'ny IBM sy IEEE marikafa ny teknolojia rahona sy ny fianarana milina dia efa ela no ampiasaina amin'ny famokarana chip. Araka ny hevitr'izy ireo, ny tetikasa DARPA dia mety ho lasa ohatra mahomby amin'ny fampiharana io hevitra io ary hametraka ny fiandohan'ny fiovana eo amin'ny indostria.

Antenaina ihany koa fa ny endrika misokatra amin'ny OpenROAD dia hamorona vondrom-piarahamonina matanjaka manodidina ny fitaovana ary hisarihana ireo fanombohana vaovao.

Resadresaka: Ny tetikasa OpenROAD dia mikasa ny hamaha ny olan'ny automatique ny famolavolana processeur
--ΠžΡ‚ΠΎ - Pexels β€” CC BY

Efa misy mpandray anjara - laboratoara mamolavola chips miorina ao amin'ny Oniversiten'i Michigan, ho voalohany, izay hitsapa ny OpenROAD fitaovana loharano misokatra. Saingy tsy mbola fantatra raha mety hisy fiantraikany miharihary amin'ny vidin'ny vokatra farany ny vahaolana vaovao.

Amin'ny ankapobeny, ireo fitaovana novolavolaina teo ambany fitarihan'ny DARPA dia antenaina fa hisy fiantraikany tsara eo amin'ny indostrian'ny processeur, ary hisy tetikasa vaovao hafa hanomboka hipoitra amin'ity sehatra ity. Ohatra iray dia fitaovana iray gEDA - mamela anao hamolavola puce miaraka amin'ny singa tsy voafetra. Ny gEDA dia misy fitaovana ampiasaina amin'ny fanovana sy ny famolavolana ny microcircuits ary ny lalan'ny board. Ny vahaolana dia novolavolaina ho an'ny sehatra UNIX, saingy maro amin'ireo singa ao aminy no miasa amin'ny Windows. Misy torolalana momba ny fiaraha-miasa amin'izy ireo ao amin'ny antontan-taratasy ao amin'ny tranokalan'ny tetikasa.

Ny fitaovana azo ampiasaina malalaka dia manome safidy bebe kokoa ho an'ny fikambanana tsy miankina sy ny fanombohana. Mety ho lasa fenitry ny indostria ny fomba fiasa vaovaon'ny OpenROAD amin'ny fampivoarana fitaovana EDA sy ny famolavolana chip.

Ny zavatra soratanay ao amin'ny bilaogin'ny orinasanay:

Source: www.habr.com

Add a comment