Topic: fitantanan-draharaha

Tambajotra IPeE mahazaka lesoka mampiasa fitaovana namboarina

Salama. Midika izany fa misy tambajotra misy mpanjifa 5k. Vao haingana no niseho ny fotoana tsy dia mahafinaritra loatra - eo afovoan'ny tambajotra dia manana Brocade RX8 izahay ary nanomboka nandefa fonosana tsy fantatra-unicast marobe, satria ny tambajotra dia mizara ho vlans - tsy olana amin'ny ampahany izany, FA misy vlan manokana ho an'ny adiresy fotsy, sns. ary mivelatra izy ireo […]

Ady eo amin'ny roa yokozuna

Latsaky ny 8 ora sisa alohan'ny hanombohan'ny fivarotana ireo processeur AMD EPYC™ Rome vaovao. Ato amin'ity lahatsoratra ity dia nanapa-kevitra ny hahatsiaro ny fomba nanombohan'ny tantaran'ny fifandrafiana teo amin'ireo mpanamboatra CPU roa lehibe indrindra izahay. Ny processeur 8008-bit voalohany eran'izao tontolo izao dia ny Intel® i1972, navoaka tamin'ny 200. Ny processeur dia manana famantaran'andro 10 kHz, natao tamin'ny teknolojia 10000 micron (XNUMX nm) […]

Helm Security

Ny votoatin'ny tantara momba ny mpitantana fonosana malaza indrindra ho an'ny Kubernetes dia azo aseho amin'ny fampiasana emoji: ny boaty dia Helm (io no zavatra mety indrindra amin'ny famoahana Emoji farany); hidy - fiarovana; ny lehilahy kely no vahaolana amin'ny olana. Raha ny zava-misy dia ho sarotra kokoa ny zava-drehetra, ary feno antsipiriany ara-teknika momba ny fomba hiarovana ny Helm ny tantara. […]

Andro fahatelo niaraka tamin'i Haiku: manomboka mipoitra ny sary feno

TL; DR: Haiku dia mety ho rafitra fiasan'ny desktop open source lehibe. Tena mila an'ity aho fa mbola betsaka ny fanamboarana ilaina. Nianatra Haiku aho, rafitra fiasana tena tsara, nandritra ny roa andro. Andro fahatelo izao, ary tiako loatra ity rafitra fiasana ity ka mieritreritra foana aho hoe: ahoana no ahafahako manao azy ho rafitra fiasana isan'andro? Raha ny […]

vGPU - tsy azo tsinontsinoavina

Tamin'ny Jona-Jolay, efa ho roa ambin'ny folo orinasa no nifandray taminay, liana amin'ny fahaizan'ny GPU virtoaly. Ny sary avy amin'ny Cloud4Y dia efa ampiasain'ny iray amin'ireo sampana lehibe an'ny Sberbank, fa amin'ny ankapobeny dia tsy dia malaza loatra ny serivisy. Tena faly àry izahay tamin’izany. Rehefa nahita ny fitomboan'ny fahalianana amin'ny teknolojia izahay dia nanapa-kevitra ny hiresaka bebe kokoa momba ny vGPU. “Farihy data” azo vokatry ny siantifika […]

Chaos Engineering: Ny zavakanton'ny fandringanana niniana

Fanamarihana transl.: Faly izahay mizara ny fandikana fitaovana mahafinaritra avy amin'ny evanjelistra teknolojia zokiolona avy amin'ny AWS - Adrian Hornsby. Amin'ny teny tsotra, hazavainy ny maha-zava-dehibe ny fanandramana hanalefahana ny vokatry ny tsy fahombiazan'ny rafitra IT. Mety efa naheno momba ny Chaos Monkey ianao (na nampiasa vahaolana mitovy amin'izany aza)? Amin'izao fotoana izao, ny fomba hamoronana fitaovana toy izany sy ny fampiharana azy amin'ny sehatra midadasika kokoa […]

Fahafantarana ny PVS-Studio static analyzer rehefa mamolavola programa C++ amin'ny tontolo Linux

PVS-Studio dia manohana ny fanadihadiana ny tetikasa amin'ny C, C ++, C # ary Java. Ny mpandinika dia azo ampiasaina amin'ny rafitra Windows, Linux ary macOS. Ity fanamarihana ity dia hifantoka amin'ny famakafakana kaody voasoratra ao amin'ny C sy C ++ ao amin'ny tontolo Linux. Fametrahana Azonao atao ny mametraka PVS-Studio amin'ny Linux amin'ny fomba samihafa, arakaraka ny karazana fizarana. Ny fomba mora sy tiana indrindra dia [...]

SGX malware: ahoana ny fomba fanararaotan'ny olon-dratsy ny teknolojia Intel vaovao ho an'ny tanjona hafa ankoatry ny nikasana azy

Araka ny fantatrao, ny code executed ao amin'ny enclave dia tena voafetra amin'ny asany. Tsy afaka manao antso an-tariby. Tsy afaka manao asa I/O. Tsy fantany ny adiresin'ny ampahan'ny code an'ny fampiharana mpampiantrano. Tsy afaka jmp na miantso kaody fampiharana mpampiantrano. Tsy manana hevitra momba ny firafitry ny habaka adiresy izay mifehy ny fampiharana mpampiantrano (ohatra, pejy inona no voasariky ny sarintany […]

Mamorona fantsona fanodinana angon-drakitra izahay. Fizarana 2

Salama daholo. Mizara ny fandikana ny ampahany farany amin'ny lahatsoratra izahay, izay nomanina manokana ho an'ny mpianatra amin'ny taranja Data Engineer. Hita eto ny ampahany voalohany. Apache Beam sy DataFlow ho an'ny fantsom-pifandraisana tena izy Mametraka Google Cloud Note: Nampiasa Google Cloud Shell aho mba hampandehanana ny fantsona ary hamoaka angon-drakitra manokana satria sahirana amin'ny fampandehanana ny fantsona amin'ny Python […]

Ahoana no nandaminanay ny fanofana elektronika voalohany sy ny nahatonga izany

Na dia eo aza ny lazan'ny lohahevitra momba ny fitantanana antontan-taratasy elektronika, any amin'ny banky Rosiana sy amin'ny sehatra ara-bola amin'ny ankapobeny, ny ankamaroan'ny fifanakalozana rehetra dia tanterahina amin'ny fomba taloha, amin'ny taratasy. Ary ny teboka eto dia tsy ny conservatism loatra amin'ny banky sy ny mpanjifany, fa ny tsy fisian'ny rindrambaiko sahaza eny an-tsena. Arakaraka ny sarotra kokoa ny fifampiraharahana dia tsy dia azo inoana loatra fa hotanterahina ao anatin'ny rafitry ny EDI izany. […]

Mandehana any amin'ny 2FA (Fanamarinana roa an'ny ASA SSL VPN)

Mipoitra matetika ny filana manome fidirana lavitra amin'ny tontolon'ny orinasa, na ny mpampiasa anao na ny mpiara-miombon'antoka no mila fidirana amin'ny mpizara manokana ao amin'ny fikambananao. Ho an'ireo tanjona ireo, ny ankamaroan'ny orinasa dia mampiasa teknolojia VPN, izay nanaporofo ny tenany ho fomba azo antoka azo antoka amin'ny fanomezana fidirana amin'ny loharanon'ny fikambanana eo an-toerana. Ny orinasako dia tsy […]

LinOTP mpizara fanamarinana roa lafin-javatra

Androany aho dia te-hizara ny fomba hananganana mpizara fanamarinana roa amin'ny fiarovana ny tambajotra orinasa, tranokala, serivisy, ssh. Ny mpizara dia handefa ity fitambarana manaraka ity: LinOTP + FreeRadius. Nahoana isika no mila izany? Vahaolana maimaim-poana tanteraka ity, ao anatin'ny tambazotrany manokana, tsy miankina amin'ny mpamatsy antoko fahatelo. Ity serivisy ity dia tena mety, hita maso, tsy toy ny vokatra open source hafa, ary manohana ihany koa […]