Google dia nanome fahafahana hamokatra maimaim-poana ny andrana amin'ireo chips misokatra

Google, miaraka amin'ny orinasa mpanamboatra SkyWater Technology sy Efabless, dia nanangana hetsika ahafahan'ny mpamorona hardware misokatra hanao ny chips novolavolainy maimaimpoana. Ny hetsika dia mikendry ny hamporisihana ny fampandrosoana ny fitaovana misokatra, hampihenana ny fandaniana amin'ny famolavolana tetikasa misokatra ary hanamora ny fifandraisana amin'ny orinasa mpamokatra. Noho ny fandraisana an-tanana, afaka manomboka mamolavola ny chips mahazatra azy ny tsirairay nefa tsy matahotra ny vidin'ny famokarana prototype voalohany. Ny saram-pamokarana, famonosana ary fandefasana rehetra dia sahanin'ny Google.

Ny fangatahana fampidirana amin'ny programa famokarana maimaim-poana dia azo apetraka indray mandeha isaky ny roa volana. Hikatona amin'ny 8 jona ny slot akaiky indrindra, ary ny 30 aogositra no ho vonona ny puce izay afaka miditra ao ary alefa any amin'ny mpanoratra ny 18 oktobra. Avy amin'ireo fangatahana natolotra dia tetikasa 40 no voafantina (raha latsaky ny 40 ny fangatahana natolotra, dia izay rehetra nandalo ny fanamarinana marina dia hapetraka ao anaty famokarana). Araka ny vokatry ny famokarana dia hahazo puce 50 sy boards 5 misy puce napetraka ny developer.

Ny fangatahana dia tsy ekena afa-tsy amin'ny tetikasa zaraina tanteraka amin'ny alΓ lan'ny fahazoan-dΓ lana misokatra, tsy voasakan'ny fifanarahana tsy fampahafantarana (NDA) ary tsy mametra ny sehatra fampiasana ny vokatra. Ny angon-drakitra momba ny famokarana dia tsy maintsy afindra amin'ny endrika GDSII, mandalo ny andrana nomena ary averina averina avy amin'ny rakitra famolavolana loharano (izany hoe, manolotra tetikasa loharano misokatra, saingy tsy afaka manolotra drafitra manokana ho an'ny famokarana ianao).

Mba hanatsorana ny fivoaran'ny chip open dia misy ireto fitaovana open source ireto:

  • SkyWater PDK (Process Design Kit), fitaovana iray izay mamaritra ny dingana ara-teknika 130nm (SKY130) ampiasaina ao amin'ny orinasa SkyWater ary ahafahanao manomana ireo rakitra famolavolana ilaina amin'ny famokarana microcircuits.
  • OpenLane dia fitambarana singa ho an'ny fiovam-po mandeha ho azy amin'ny famolavolan'ny circuit RTL amin'ny chips manokana momba ny fampiharana (ASIC) ho amin'ny endrika GDSII ampiasaina amin'ny orinasa chip.
    Google dia nanome fahafahana hamokatra maimaim-poana ny andrana amin'ireo chips misokatra
  • Ny XLS (Accelerated HW Synthesis) dia kitapom-pitaovana amin'ny fampifangaroana rakitra famolavolana miaraka amin'ny hardware chip izay mifanandrify amin'ny famaritana avo lenta nomena ny fampiasa ilaina, natao tamin'ny fomba famolavolana rindrambaiko.
  • Fitsipika momba ny rafitra fivoriambe Bazel miaraka amin'ny fanohanan'ny fitaovana misokatra (Yosys, Verilator, OpenROAD) amin'ny fiasana amin'ny fiteny famaritana hardware (Verilog, VHDL, Chisel, nMigen).
  • OpenROAD dia rafitra ho an'ny automatique ny dingan'ny fampandrosoana ny microcircuits open source.
  • Verible dia fitaovan'ny fampandrosoana amin'ny fiteny Verilog, ao anatin'izany ny parser, ny rafitra fandrafetana fomba ary ny linter.

Source: opennet.ru

Add a comment