Famoahana kernel Linux 5.9

Taorian'ny roa volana ny fampandrosoana Linus Torvalds nanaiky famoahana kernel Linux 5.9. Anisan'ireo fiovana miavaka indrindra: mametra ny fanafarana marika avy amin'ny maodely manana fananana ho an'ny maody GPL, manafaingana ny hetsika fanodinana contexte amin'ny alàlan'ny torolàlana processeur FSGSBASE, fanohanana ny famatrarana sary kernel mampiasa Zstd, famerenana ny laharam-pahamehana ny kofehy ao amin'ny kernel, fanohanana ny PRP (Parallel Redundancy Protocol) , fandaharam-potoanan'ny bandwidth amin'ny fandaharam-potoanan'ny fe-potoana, famenoana mialoha ny pejin'ny fahatsiarovana, sainam-pahombiazana CAP_CHECKPOINT_RESTOR, antso an-tariby close_range(), fanatsarana ny fampisehoana dm-crypt, fanesorana kaody ho an'ny vahiny Xen PV 32-bit, fahatsiarovana slab vaovao rafitra fitantanana, safidy "fanavotana" ao amin'ny Btrfs, fanohanana ny encryption inline amin'ny ext4 sy F2FS.

Ny kinova vaovao dia misy fanamboarana 16074 avy amin'ny mpamorona 2011,
haben'ny patch - 62 MB (fanovàna misy 14548 rakitra, 782155 andalana code nampiana, 314792 andalana voafafa). Manodidina ny 45% amin'ny rehetra no aseho amin'ny 5.9
Ny fanovana dia mifandray amin'ny mpamily fitaovana, manodidina ny 15% amin'ny fanovana no misy
toe-tsaina manoloana ny fanavaozana ny kaody manokana amin'ny maritrano hardware, 13%
mifandraika amin'ny tamba-jotra, 3% amin'ny rafi-drakitra ary 3% amin'ny anatiny
kernel subsystems.

tena fanavaozana:

  • Serivisy fitadidiana sy rafitra
    • Mihenjana fiarovana amin'ny fampiasana sosona GPL amin'ny fampifandraisana ireo mpamily tompon'andraikitra amin'ny singa kernel naondrana fotsiny ho an'ny maodely ambanin'ny fahazoan-dàlana GPL. Ny saina TAINT_PROPRIETARY_MODULE dia nolovaina amin'ny maodely rehetra manafatra marika avy amin'ny maody misy an'io saina io. Raha misy mody GPL manandrana manafatra marika avy amin'ny maodely tsy GPL, dia handova ny mari-pamantarana TAINT_PROPRIETARY_MODULE io maody GPL io ary tsy afaka miditra amin'ny singa kernel izay tsy misy afa-tsy amin'ny maodely nahazoan-dàlana GPL, na dia efa nampiditra marika avy amin'ny GPL aza ilay maody. ny sokajy "gplonly". Ny hidin-trano mivadika (manondrana EXPORT_SYMBOL_GPL afa-tsy amin'ny maodely nanafatra EXPORT_SYMBOL_GPL), izay mety handrava ny asan'ny mpamily tompon-trano, dia tsy ampiharina (ny sainam-pananana module ihany no nolovaina, fa tsy ny fatorana GPL).
    • nanampy fanohanan'ny motera kcompactd ho an'ny pejy fitadidiana mialoha ny famonosana ao ambadika mba hampitomboana ny isan'ny pejy fitadidiana lehibe azon'ny kernel. Araka ny tombantombana mialoha, ny fonosana ambadika, amin'ny vidiny ambany indrindra, dia afaka mampihena ny fahatarana rehefa mizara pejy fitadidiana lehibe (pejy lehibe) in-70-80 raha oharina amin'ny mekanika fonosana efa nampiasaina teo aloha, natomboka rehefa ilaina izany (araka ny fangatahana). ). Mba hametrahana ny sisin'ny fizarazarana ivelany izay homen'ny kcompactd dia nampiana sysctl vm.compaction_proactiveness.
    • nanampy fanohanana ny famatrarana sary kernel mampiasa algorithm zstandard (zstd).
    • Ny fanohanana ny torolàlana momba ny processeur dia nampiharina ho an'ny rafitra x86 FSGSBASE, izay ahafahanao mamaky sy manova ny votoatin'ny rejistra FS/GS avy amin'ny habaka mpampiasa. Ao amin'ny kernel, FSGSBASE dia ampiasaina hanafaingana ny hetsika famadihana contexte amin'ny alàlan'ny fanafoanana ny asa fanoratana MSR tsy ilaina ho an'ny GSBASE, ary amin'ny habaka mpampiasa dia misoroka ny antson'ny rafitra tsy ilaina hanovana FS/GS.
    • nanampy ny parameter "allow_writes" dia ahafahanao mandrara ny fanovana ny rejisitry ny MSR an'ny processeur amin'ny habaka mpampiasa ary mametra ny fidirana amin'ny atiny amin'ireo rejisitra ireo mba hamakiana asa, satria mety hiteraka olana ny fanovana ny MSR. Amin'ny alàlan'ny default, ny fanoratana dia tsy mbola kilemaina, ary ny fiovana amin'ny MSR dia hita taratra ao amin'ny log, fa amin'ny ho avy dia mikasa ny hanova ny fidirana default amin'ny fomba vakiana fotsiny.
    • Ho an'ny interface I/O asynchronous io_uring Nampiana fanohanana feno ho an'ny asa famakiana asynchronous buffered izay tsy mila kofehy kernel. Andrasana amin'ny famoahana ho avy ny fanohanana fandraketana.
    • Ao amin'ny fe-potoana fandaharam-potoana I/O ampiharina drafitra mifototra amin'ny fahaiza-manao, Ny famelana mandray fanapahan-kevitra marina momba ny rafitra asymmetrika toy ny rafitra mifototra amin'ny ARM DynamIQ ary big.LITTLE, izay manambatra ireo cores CPU mitsitsy angovo matanjaka sy tsy dia mahomby ao anaty puce iray. Indrindra indrindra, ny fomba vaovao dia ahafahanao misoroka ny tsy fitovian'ny fandaharam-potoana rehefa tsy manana ny loharanon-karena mety hamitana asa ara-potoana ny core CPU miadana.
    • Ny maodely fanjifana angovo ao amin'ny kernel (framework Modely Energy) dia izao mamaritra ny tsy ny fitondran-tena fanjifana herinaratra CPU ihany, fa mandrakotra koa ny fitaovana periferika.
    • Ny antson'ny rafitra close_range() dia nampiharina mba ahafahan'ny dingana iray hanakatona ireo mpamoritra rakitra misokatra iray manontolo indray mandeha.
    • Avy amin'ny fampiharana ny console text sy ny mpamily fbcon nesorina ny kaody, izay manome fahafahana hamerenana lahatsoratra (CONFIG_VGACON_SOFT_SCROLLBACK) amin'ny alalan'ny programa amin'ny alàlan'ny fahatsiarovan-dahatsary VGA.
    • Naverina niasa algorithm amin'ny fametrahana laharam-pahamehana amin'ny kofehy ao anaty kernel. Ny safidy vaovao dia manome firindrana tsara kokoa amin'ny subsystems kernel rehetra rehefa mametraka laharam-pahamehana amin'ny asa amin'ny fotoana tena izy.
    • Nampiana sysctl sched_uclamp_util_min_rt_default hifehezana ny firafitry ny fampisondrotana CPU ho an'ny asa amin'ny fotoana tena izy (ohatra, azonao atao ny manova ny fihetsiky ny asa amin'ny fotoana tena izy amin'ny lalitra mba hitsitsiana herinaratra rehefa avy nivadika tamin'ny herin'ny bateria na amin'ny rafitra finday).
    • Efa nisy ny fiomanana amin'ny fampiharana ny fanohanana ny teknolojia Transparent Huge Pages ao amin'ny cache pejy.
    • Ny motera fanotify dia mametraka saina vaovao FAN_REPORT_NAME sy FAN_REPORT_DIR_FID hitaterana ny anaran'ny ray aman-dreny sy ny fampahafantarana FID tokana rehefa misy hetsika famoronana, famafana, na hetsika ho an'ny zavatra lahatahiry sy zavatra tsy misy lahatahiry.
    • Ho an'ny cgroups ampiharina mpanara-maso fitadidiana slab vaovao, izay misongadina amin'ny fifindran'ny kaonty slab avy amin'ny haavon'ny pejin'ny fahatsiarovana mankany amin'ny haavon'ny zavatra kernel, izay ahafahana mizara pejin'ny slab amin'ny cgroups samihafa, fa tsy mizara cache slab misaraka ho an'ny cgroup tsirairay. Ny fomba fiasa natolotra dia ahafahana mampitombo ny fahombiazan'ny fampiasana slab, mampihena ny haben'ny fahatsiarovana ampiasaina amin'ny slab amin'ny 30-45%, mampihena be ny fanjifana fahatsiarovana ny kernel ary mampihena ny fizarazarana fahatsiarovana.
    • Ao amin'ny subsystem feo ALSA и USB stack, mifanaraka amin'ny noraisina vao haingana tolo-kevitra momba ny fampiasana ny voambolana inclusive ao amin'ny kernel Linux; nodiovina ny teny tsy marina ara-politika. Ny fehezan-dalàna dia nesorina tamin'ny teny hoe "slave", "master", "blacklist" ary "whitelist".
  • Virtualization sy fiarovana
    • Rehefa manangana kernel mampiasa ny Clang compiler niseho ny fahafahana manitsy (CONFIG_INIT_STACK_ALL_ZERO) fanombohana mandeha ho azy ho aotra amin'ny fari-piainana rehetra voatahiry ao amin'ny stack (rehefa manorina dia mariho ny "-ftrivial-auto-var-init=zero").
    • Ao amin'ny subsystem seccomp, rehefa mampiasa fomba fanaraha-maso ny fizotran'ny mpampiasa amin'ny habaka mpampiasa, nanampy fahafahana fanoloana ireo mpamoritra rakitra ho amin'ny dingana arahina mba hanahafana tanteraka ny antson'ny rafitra izay mitondra any amin'ny famoronana fisie descriptors. Ny fampiasa dia takiana amin'ny rafitra fitahirizana mitoka-monina sy fampiharana sandbox ho an'ny Chrome.
    • Ho an'ny rafitra xtensa sy csky, dia nampiana fanohanana ho an'ny famerana ny antson'ny rafitra mampiasa ny subsystem seccomp. Ho an'ny xtensa, ny fanohanana ny rafitra fanaraha-maso dia ampiharina koa.
    • nanampy saina vaovao CAP_CHECKPOINT_RESTORE, izay ahafahanao manome fahafahana miditra amin'ny fahafaha-manao mifandraika amin'ny fanamainana sy famerenana amin'ny laoniny ny toetry ny dingana nefa tsy mamindra tombontsoa fanampiny.
    • GCC 11 dia manome ny endri-javatra rehetra ilainao
      fitaovana debugging KCSAN (Kernel Concurrency Sanitizer), natao hamantarana ny toetry ny hazakazaka ao anaty kernel. Noho izany, ny KCSAN izao dia azo ampiasaina miaraka amin'ny kernel natsangana ao amin'ny GCC.

    • Ho an'ny AMD Zen sy modely CPU vaovao kokoa nanampy fanohanana ny teknolojia P2PDMA, izay ahafahanao mampiasa DMA ho an'ny famindrana angon-drakitra mivantana eo anelanelan'ny fitadidiana fitaovana roa mifandray amin'ny bus PCI.
    • Nisy fomba nampiana tao amin'ny dm-crypt izay ahafahanao mampihena ny fahatarana amin'ny alàlan'ny fanodinana angon-drakitra kriptografika nefa tsy mampiasa filaharana asa. Ity fomba ity dia ilaina ihany koa amin'ny fampandehanana marina amin'ny zoned fitaovana fanakanana (fitaovana misy faritra tsy maintsy soratana misesy, manavao ny vondrona sakana manontolo). Ny asa dia natao mba hampitomboana ny fidirana sy hampihenana ny latency amin'ny dm-crypt.
    • Kaody nesorina hanohanana vahiny 32-bit mandeha amin'ny fomba paravirtualization mandeha amin'ny Xen hypervisor. Ireo mpampiasa ny rafitra toy izany dia tokony hifindra amin'ny fampiasana kernel 64-bit amin'ny tontolo vahiny na mampiasa fomba virtoaly feno (HVM) na mitambatra (PVH) fa tsy paravirtualization (PV) mba hampandehanana tontolo iainana.
  • Disk Subsystem, I/O ary Rafitra fisie
    • Ao amin'ny rafitra rakitra Btrfs ampiharina safidy tendrombohitra "fanavotana" izay mampiray ny fidirana amin'ny safidy fanarenana hafa rehetra. Ny fanohanana ny safidy "alloc_start" sy "subvolrootid" dia nesorina, ary ny safidy "inode_cache" dia nesorina. Natao ny fanatsarana ny fampandehanana, indrindra ny fanafainganana ny fanatanterahana ny asa fsync(). nanampy ny fahafahana mampiasa karazana checksum hafa ankoatra ny CRC32c.
    • nanampy ny fahafahana mampiasa encryption inline (Inline Encryption) amin'ny rafitra fichier ext4 sy F2FS, mba ahafahana manome ny safidy mount "inlinecrypt". Ny fomba fanafenana an-tserasera dia ahafahanao mampiasa ny rafitra fanafenana natsangana ao amin'ny mpanara-maso ny fiara, izay mamadika mangarahara sy mamadika ny fidirana/famoahana.
    • Ao amin'ny XFS antoka inode reset (flush) amin'ny fomba asynchronous tanteraka izay tsy manakana ny dingana rehefa manao asa fanadiovana fahatsiarovana. Namaha olana momba ny quota efa hatry ny ela izay nahatonga ny fampitandremana fetra malefaka sy ny famerana inode ho diso fanaraha-maso. Fampiarahana ny fanohanana DAX ho an'ny ext4 sy xfs.
    • Ao amin'ny Ext4 ampiharina apetraka mialoha ny sarin'ny sakana. Ampifandraisina amin'ny famerana ny fisavana vondrona tsy fantatra, ny fanatsarana dia nampihena ny fotoana ilaina amin'ny fametrahana partitions lehibe.
    • Ao amin'ny F2FS nanampy ioctl F2FS_IOC_SEC_TRIM_FILE, izay ahafahanao mampiasa ny baiko TRIM/discard hamerenana ara-batana ny angon-drakitra voatondro ao anaty rakitra iray, ohatra, hamafa ny fanalahidy fidirana nefa tsy mamela data sisa tavela amin'ny fiara.
      Ao amin'ny F2FS ihany koa nanampy fomba fanangonam-pako vaovao GC_URGENT_LOW, izay miasa mahery vaika kokoa amin'ny fanafoanana ny fisavana sasany amin'ny tsy fisian'ny fako alohan'ny hanombohana ny mpanangona fako.

    • Ao amin'ny bcache, ny haben'ny siny ho an'ny fanitarana dia nitombo avy amin'ny 16 ka hatramin'ny 32 bits ho fiomanana amin'ny fampandehanana ny cache fitaovana misy faritra.
    • Ny fahafahana mampiasa encryption inline mifototra amin'ny encryption hardware naorina nomen'ny mpifehy UFS dia nampiana tao amin'ny subsystem SCSI (Fitehirizana Flash Universal).
    • Nampiana paramètre andalana baiko kernel vaovao "debugfs", izay ahafahanao mifehy ny fisian'ny pseudo-FS mitovy anarana.
    • Ny mpanjifa NFSv4.2 dia manome fanohanana ireo toetran'ny rakitra miitatra (xattr).
    • Ao amin'ny dm-vovoka nanampy interface tsara mba hampisehoana indray mandeha ny lisitry ny sakana ratsy rehetra hita ao amin'ny kapila ("dmsetup message dust1 0 listbadblocks").
    • Ho an'ny md/raid5, ny mari-pamantarana /sys/block/md1/md/stripe_size dia nampiana mba hanitsiana ny haben'ny sakana STRIPE.
    • Ho an'ny fitaovana fitahirizana NVMe nanampy fanohanana ny baiko zoning fiara (ZNS, NVM Express Zoned Namespace), izay ahafahanao mizara toerana fitehirizana ho faritra izay mandrafitra vondrona sakana ho an'ny fanaraha-maso feno kokoa ny fametrahana angon-drakitra amin'ny fiara.
  • Subsystem tambajotra
    • Ao amin'ny Netfilter nanampy ny fahafahana mandà ny fonosana eo amin'ny sehatra alohan'ny hanamarinana ny lalana (ny fanehoana REJECT dia azo ampiasaina tsy amin'ny rojo INPUT, FORWARD ary OUTPUT, fa amin'ny dingana PREROUTING ho an'ny icmp sy tcp ihany koa).
    • Amin'ny nftables nanampy ny fahafahana manara-maso ny hetsika mifandraika amin'ny fanovana fanovana.
    • Ao amin'ny nftables ao amin'ny netlink API nanampy fanohanana ho an'ny rojo tsy fantatra anarana, ny anarany dia nomena dynamique amin'ny kernel. Rehefa mamafa fitsipika mifandray amin'ny rojo tsy fantatra anarana ianao dia voafafa ho azy ny rojo.
    • Ny BPF dia manampy fanohanana ho an'ireo iterators hamakivaky, hanivana ary hanova ireo singa amin'ny sari-tany (sarintany) tsy misy kopia angona ao amin'ny habaka mpampiasa. Iterators dia azo ampiasaina amin'ny TCP sy UDP sockets, mamela ny programa BPF hamerina hijery ny lisitry ny socket misokatra sy haka ny vaovao ilainy avy amin'izy ireo.
    • Nampiana karazana programa BPF vaovao BPF_PROG_TYPE_SK_LOOKUP, izay atomboka rehefa mitady socket fihainoana sahaza ho an'ny fifandraisana miditra ny kernel. Amin'ny fampiasana programa BPF tahaka izao, azonao atao ny mamorona mpitantana izay mandray fanapahan-kevitra momba ny socket tokony hifandraisana amin'ny fifandraisana, nefa tsy teren'ny antson'ny rafitra bind(). Ohatra, azonao atao ny mampifandray socket tokana miaraka amin'ny adiresy na seranana maromaro. Ankoatra izany, ny fanohanana ny saina SO_KEEPALIVE dia nampiana ny bpf_setsockopt() ary ny fahafahana mametraka BPF_CGROUP_INET_SOCK_RELEASE mpitantana, antsoina rehefa navoaka ny socket, dia nampiharina.
    • Nampiharina ny fanohanana ny protocole PRP (Parallel Redundancy Protocol), izay mamela ny fifindran'ny Ethernet mifototra amin'ny fantsona backup, mangarahara ho an'ny rindranasa, raha sendra ny tsy fahombiazan'ny singa rehetra amin'ny tambajotra.
    • Ampahany mac80211 nanampy fanohanana ny fifampiraharahana amin'ny fantsona WPA/WPA2-PSK dingana efatra amin'ny fomba fidirana.
    • Nampiana ny fahafahana manova ny qdisc (fifehezana filaharana) fandaharam-potoana hampiasa ny FQ-PIE (Flow Queue PIE) algorithm fitantanana filaharana tambajotra amin'ny alàlan'ny default, mikendry ny hampihenana ny fiantraikany ratsy amin'ny buffering fonosana mpanelanelana amin'ny fitaovana tambajotra sisiny (bufferbloat) amin'ny tambajotra misy cable modems.
    • Ny endri-javatra vaovao dia nampiana MPTCP (MultiPath TCP), fanitarana ny protocol TCP ho an'ny fandaminana ny fiasan'ny fifandraisana TCP miaraka amin'ny fandefasana fonosana miaraka amin'ny lalana maromaro amin'ny alàlan'ny fifandraisana amin'ny tambajotra samihafa mifandray amin'ny adiresy IP samihafa. Fanampiana fanampiny ho an'ny cookie syn, DATA_FIN, buffer auto-tuning, diagnostics socket, ary saina REUSEADDR, REUSEPORT ary V6ONLY amin'ny setsockopt.
    • Ho an'ny latabatra fitetezana virtoaly VRF (Virtual Routing and Forwarding), izay mamela ny fandaminana ny fampandehanan-draharahan'ny sehatra fandrindrana maromaro amin'ny rafitra iray, dia nampiharina ny fomba "henjana". Amin'ity fomba ity, ny latabatra virtoaly dia tsy azo ampifandraisina amin'ny latabatra zotra izay tsy ampiasaina amin'ny latabatra virtoaly hafa.
    • Ny mpamily Wireless dia ath11k nanampy manohana matetika 6GHz ary spectral scanning.
  • fitaovana
    • Kaody nesorina hanohanana ny maritrano UniCore, novolavolaina tao amin'ny Foiben'ny Microprocessor ao amin'ny Oniversiten'i Peking ary nampidirina tao amin'ny kernel Linux tamin'ny 2011. Ity maritrano ity dia tsy voakarakara hatramin'ny 2014 ary tsy misy fanohanana ao amin'ny GCC.
    • Napetraka ny fanohanana ny maritrano RISC-V kcov (interface debugfs amin'ny famakafakana ny fandrakofana kaody kernel), kmemleak (rafitra fitsirihana ny fitadidiana), fiarovana ny stack, marika hitsambikina ary asa tsy misy tsikitsiky (miasa tsy miankina amin'ny famantarana famerana).
    • Ho an'ny maritrano PowerPC, dia nampiharina ny fanohanana ny filaharana spinlock, izay nanatsara ny fahombiazan'ny toe-javatra misy fifandonana hidin-trano.
    • Ho an'ny maritrano ARM sy ARM64, ny mekanika fanaraha-maso matetika ny processeur dia alefa amin'ny alàlan'ny default scheduleutil (cpufreq governora), izay mampiasa mivantana ny fampahalalana avy amin'ny mpandrindra asa mba handraisana fanapahan-kevitra amin'ny fanovana ny matetika ary afaka miditra avy hatrany amin'ny mpamily cpufreq hanova haingana ny matetika, manitsy avy hatrany ny mason-tsivana miasa amin'ny CPU amin'ny entana ankehitriny.
    • Ny mpamily i915 DRM ho an'ny karatra grafika Intel dia misy fanohanana ny chips mifototra amin'ny microarchitecture Farihy Rocket ary nanampy fanohanana voalohany ho an'ny karatra discrete Intel Xe DG1.
    • Ny mpamily Amdgpu dia nanampy fanohanana voalohany ho an'ny AMD GPU Navi 21 (Navy Flounder) ary Navi 22 (Sienna Cichlid). Fanohanana fanampiny ho an'ny fanodinana horonan-tsarimihetsika UVD/VCE sy motera fanamafisam-peo ho an'ny GPU nosy Atsimo (Radeon HD 7000).
      Nanampy fananana hanodina ny fampisehoana amin'ny 90, 180 na 270 degre.

      Mahaliana fa ny mpamily ho an'ny AMD GPU ny ny mpamily lehibe indrindra amin'ny kernel - manana andalana 2.71 tapitrisa eo ho eo amin'ny kaody, izay manodidina ny 10% amin'ny haben'ny kernel manontolo (27.81 tapitrisa andalana). Mandritra izany fotoana izany, ny tsipika 1.79 tapitrisa dia voatanisa amin'ny alàlan'ny fisie header namboarina ho azy miaraka amin'ny angon-drakitra ho an'ny rejisitra GPU, ary ny fehezan-dalàna C dia 366 arivo andalana (ho fampitahana, ny mpamily Intel i915 dia misy andalana 209 arivo, ary Nouveau - 149 arivo).

    • Ao amin'ny mpamily Nouveau nanampy fanohanana ny fisavana ny fahamarinan'ny frame-by-frame amin'ny fampiasana CRC (Fanamarinana Cyclic Redundancy) amin'ny motera fampisehoana NVIDIA GPU. Ny fampiharana dia mifototra amin'ny antontan-taratasy nomen'ny NVIDIA.
    • Mpamily fanampiny ho an'ny takelaka LCD: Frida FRD350H54004, KOE TX26D202VM0BWA, CDTech S070PWS19HP-FC21, CDTech S070SWV29HG-DC44, Tianma TM070JVHG33 ary Xingbangda XBD599.
    • Ny subsystem audio ALSA dia manohana Intel Silent Stream (fomba herinaratra mitohy ho an'ny fitaovana HDMI ivelany mba hanafoanana ny fahatarana rehefa manomboka playback) ary fitaovana vaovao hifehy ny famirapiratan'ny fampahavitrihana mikrô sy ny bokotra moana, ary manampy koa ny fanohanana ireo fitaovana vaovao, anisan'izany ny mpanara-maso. Longson 7A1000.
    • Fanampiana fanampiny ho an'ny boards, fitaovana ary sehatra ARM: Pine64 PinePhone v1.2, Lenovo IdeaPad Duet 10.1, ASUS Google Nexus 7, Acer Iconia Tab A500, Qualcomm Snapdragon SDM630 (ampiasaina amin'ny Sony Xperia 10, 10 Plus, XA2, XA2 Plus ary XA2 Ultra), Jetson Xavier NX, Amlogic WeTek Core2, Aspeed EthanolX, boards vaovao dimy mifototra amin'ny NXP i.MX6, MikroTik RouterBoard 3011, Xiaomi Libra, Microsoft Lumia 950, Sony Xperia Z5, MStar, Microchip Sparx5, Intel Keem Bay, Amazon Alpine v3, Renesas RZ/G2H.

Mandritra izany fotoana izany, ny Latin American Free Software Foundation niforona
safidy maimaim-poana tanteraka kernel 5.9 - linux-libre 5.9-gnu, nesorina tamin'ny singa firmware sy mpamily misy singa tsy maimaim-poana na fizarana code, izay voafetran'ny mpanamboatra ny sehatra. Ny famoahana vaovao dia manafoana ny fametahana blob amin'ny mpamily ho an'ny WiFi rtw8821c sy SoC MediaTek mt8183. Kaody fanadiovana blob nohavaozina ao amin'ny Habanalabs, Wilc1000, amdgpu, mt7615, i915 CSR, Mellanox mlxsw (Spectrum3), r8169 (rtl8125b-2) ary x86 touchscreen mpamily sy subsystems.

Source: opennet.ru

Add a comment