Kernel Linux 5.14

Kernel Linux 5.14

Taorian'ny roa volana ny fampandrosoana Linus Torvalds nanaiky famoahana kernel Linux 5.14. Anisan'ireo fiovana misongadina indrindra: antson'ny rafitra quotactl_fd() sy memfd_secret() vaovao, fanesorana ny mpamily ide sy manta, mpanara-maso ny laharam-pahamehana I/O vaovao ho an'ny cgroup, SCHED_CORE fomba fandrindrana ny asa, fotodrafitrasa hamoronana rindrankajy BPF voamarina.

Ny dikan-teny vaovao dia misy fanamboarana 15883 avy amin'ny mpamorona 2002, ny haben'ny patch dia 69 MB (ny fiovana nisy fiantraikany tamin'ny rakitra 12580, 861501 andalana code no nampiana, 321654 andalana no voafafa). Manodidina ny 47% amin'ny fanovana rehetra nampidirina tao amin'ny 5.14 dia mifandraika amin'ny mpamily fitaovana, manodidina ny 14% amin'ny fanovana dia mifandraika amin'ny fanavaozana kaody manokana ho an'ny maritrano hardware, 13% dia mifandraika amin'ny tamba-jotra, 3% mifandraika amin'ny rafi-drakitra, ary 3% mifandray amin'ny subsystems kernel anatiny.

tena fanavaozana:

  • kapila subsystem, fidirana/famoahana ary rafitra fichier:
    • ho an'ny cgroup ampiharina mpanara-maso laharam-pahamehana I/O vaovao - rq-qos, izay afaka mifehy ny laharam-pahamehana amin'ny fanodinana ny fangatahana hanakanana ireo fitaovana vokarin'ny mpikambana ao amin'ny cgroup tsirairay. Nampiana ny fanohanan'ny mpanara-maso laharam-pahamehana vaovao amin'ny fandaharam-potoana mq-fe-potoana I/O;
    • amin'ny rafitra fichier ext4 ampiharina Ny baiko ioctl EXT4_IOC_CHECKPOINT vaovao, izay manery ny fifampiraharahana rehetra miandry avy amin'ny log sy ny buffers mifandraika amin'ny kapila, ary mandika ny faritra ampiasain'ny log in fitehirizana. Ny fanovana dia nomanina ho ampahany amin'ny hetsika iray hisorohana ny fiparitahan'ny fampahalalana avy amin'ny rafi-drakitra;
    • amin'ny btrfs nampidirina Fanatsarana ny fampisehoana: Amin'ny fanafoanana ny firaketana tsy ilaina amin'ny toetra miitatra mandritra ny famonoana fsync, dia nitombo hatramin'ny 17% ny fampandehanana ny asa mafonja miaraka amin'ny toetra miitatra. Ho fanampin'izany, rehefa manao asa trim tsy misy fiantraikany amin'ny velarana, dia tsy mandeha ny synchronization feno, izay mampihena ny fotoana fiasana amin'ny 12%. Nampiana sysfs ny toe-javatra iray mba hamerana ny bandwidth I/O rehefa manamarina ny FS. Nampiana ioctl antso hanafoana ny fanovana ny habeny sy ny famafana ny fiasan'ny fitaovana;
    • amin'ny XFS namboarina indray fampiharana cache buffer, izay afindra amin'ny fizarana pejy fahatsiarovana amin'ny fomba batch. Fanatsarana ny fahombiazan'ny cache;
    • Ny F2FS dia nanampy safidy hiasa amin'ny fomba vakiana fotsiny ary nampihatra ny maodely caching block (compress_cache) mba hanatsarana ny fahaiza-mamaky kisendrasendra. Ny fanohanana dia nampiharina amin'ny fanerena ireo rakitra voasoritra ho fahatsiarovana amin'ny fampiasana ny asa mmap(). Mba hanesorana ny famandrihan-drakitra amin'ny alΓ lan'ny saron-tava, dia natolotra ny safidy mount vaovao nocompress;
    • nisy asa natao tao amin'ny mpamily exFAT hanatsarana ny fifanarahana amin'ny fitahirizana fakantsary nomerika sasany;
    • nampiana antso an-tariby quotactl_fd(), izay ahafahanao mitantana quota tsy amin'ny alalan'ny fisie fitaovana manokana, fa amin'ny alalan'ny famaritana ny fichier descriptor mifandray amin'ny rafitra fichier izay ampiharana ny quota;
    • Ny mpamily taloha ho an'ny fitaovana fanakanana miaraka amin'ny interface IDE dia nesorina tamin'ny kernel; efa ela izy ireo no nosoloina ny libata subsystem. Ny fanohanana ireo fitaovana taloha dia voatazona tanteraka, ny fanovana dia tsy misy afa-tsy ny fahafahana mampiasa ny mpamily taloha, rehefa mampiasa izay niantsoana ny fiara / dev / hd *, fa tsy / dev / sd *;
    • Ny mpamily "raw" dia nesorina tamin'ny kernel, manome fidirana tsy misy fepetra hanakanana fitaovana amin'ny alΓ lan'ny interface / dev / raw. Ity fampiasa ity dia efa nampiharina tamin'ny fampiharana mampiasa ny saina O_DIRECT;
  • serivisy fitadidiana sy rafitra:
    • fomba fandrindrana vaovao no nampiharina tao amin'ny mpandrindra asa SCHED_CORE, izay ahafahanao mifehy izay dingana afaka miara-miasa amin'ny fototra CPU iray ihany. Ny dingana tsirairay dia azo omena famantarana cookie izay mamaritra ny haavon'ny fifampitokisana eo amin'ny dingana (ohatra, an'ny mpampiasa iray na fitoeran-javatra iray ihany). Rehefa mandamina ny famonoana kaody, ny mpandrindra dia afaka miantoka fa ny fototry ny CPU iray ihany no zaraina amin'ireo dingana mifandray amin'ny tompony iray ihany, izay azo ampiasaina hanakanana ny fanafihana Specter sasany amin'ny alΓ lan'ny fisorohana ny asa azo itokisana sy tsy azo itokisana tsy mandeha amin'ny kofehy SMT (Hyper Threading) mitovy. ;
    • ho an'ny mekanika cgroup dia efa nampiharina ny fanohanana ny hetsika famonoana, izay ahafahanao mamono ny dingana rehetra mifandray amin'ny vondrona indray mandeha (mandefa SIGKILL) amin'ny fanoratana "1" amin'ny rakitra virtoaly cgroup.kill;
    • ny fahaiza-manao nitarina mifandraika amin'ny famaliana ny fisavana hidin-trano ("hidy fisarahana") izay mitranga rehefa miditra amin'ny angon-drakitra tsy mifanaraka amin'ny fitadidiana noho ny zava-misy fa rehefa manatanteraka toromarika atomika dia miampita tsipika cache CPU roa ny angon-drakitra. Ny fanakanana toy izany dia mitarika fihenam-bidy lehibe amin'ny fampisehoana, noho izany dia azo atao ny nanajanona an-keriny ny fampiharana izay nahatonga ny fanakanana. Ny famoahana vaovao dia manampy ny mari-pamantarana andalana baiko kernel "split_lock_detect = ratelimit: N", izay ahafahanao mamaritra ny fetran'ny rafitra amin'ny tahan'ny fanidy isan-tsegondra, aorian'ny fihoaram-pefy izay mety ho loharanon'ny hidin-trano fisarahana. voatery mijanona mandritra ny 20 ms fa tsy mifarana;
    • Ny cgroup bandwidth controller CFS (CFS bandwidth controller), izay mamaritra hoe ohatrinona ny fotoanan'ny processeur azo atokana ho an'ny cgroup tsirairay, dia manana fahafahana mamaritra ny fetra voafetra amin'ny faharetan'ny hetsika iray, izay mamela ny fanaraha-maso tsara kokoa ny entana saro-pady. Ohatra, ny fametrahana cpu.cfs_quota_us ho 50000 sy cpu.cfs_period_us ho 100000 dia ahafahan'ny vondron'asa handany 100ms amin'ny fotoana CPU isaky ny 50ms;
    • nanampy fotodrafitrasa voalohany amin'ny famoronana mpanome programa BPF, izay hamela bebe kokoa ny fampidinana ireo programa BPF voasonia miaraka amin'ny fanalahidy nomerika azo itokisana;
    • nanampy asa futex vaovao FUTEX_LOCK_PI2, izay mampiasa fameram-potoana monotonika hanisa ny fe-potoana, izay mandray ny fotoana lanin'ny rafitra amin'ny fomba torimaso;
    • ho an'ny maritrano RISC-V, fanohanana ny pejy fitadidiana lehibe (Transparent Huge-Pages) ary ny fahafahana mampiasa ny KFENCE hamantatra ny fahadisoana rehefa miasa amin'ny fitadidiana;
    • ao amin'ny antson'ny rafitra madvise(), izay manome fitaovana hanamafisana ny fitantanana ny fitadidiana, nanampy MADV_POPULATE_READ sy MADV_POPULATE_WRITE saina mba hamoronana "pejy diso" amin'ny pejy fitadidiana rehetra voasokajy ho an'ny asa famakiana na fanoratana, nefa tsy manao famakiana na fanoratana (prefault). Ny fampiasana saina dia mety ilaina amin'ny fampihenana ny fahatarana amin'ny fanatanterahana ny programa, noho ny fampandehanana mavitrika ny mpitantana ny "pejy fault" ho an'ny pejy rehetra tsy voatanisa indray mandeha, tsy miandry ny tena fidirana amin'izy ireo;
    • amin'ny rafitra fitiliana unit kunit nanampy fanohanana ny fanaovana andrana amin'ny tontolo QEMU;
    • Nampiana ny tracers vaovao: "osnoise" manara-maso ny fahatarana amin'ny fampiharana vokatry ny fiatoana, ary ny " timerlat " mba hampisehoana fampahalalana amin'ny antsipiriany momba ny fahatarana rehefa mifoha amin'ny famantarana famantarana;
  • virtoaly sy fiarovana:
    • nanampy antso rafitra memfd_secret(), izay ahafahanao mamorona faritra fitadidiana manokana ao amin'ny habaka adiresy mitoka-monina, tsy hita afa-tsy amin'ny fizotran'ny tompony, tsy hita taratra amin'ny dingana hafa ary tsy azo idirana mivantana amin'ny kernel;
    • ao amin'ny rafitra fanivanana antso an-tariby seccomp, rehefa mamindra ireo mpikirakira hidin-trano ho any amin'ny habaka mpampiasa, dia azo atao ny mampiasa hetsika atomika iray hamoronana fisie descriptor ho an'ny asa mitoka-monina ary mamerina izany rehefa mikarakara antso an-tariby. Mamaha ny hetsika naroso OLANA miaraka amin'ny fahatapahan'ny mpitantana eo amin'ny toerana misy ny mpampiasa rehefa misy famantarana tonga;
    • nanampy mekanika vaovao hitantana ny famerana ny loharanon-karena ao amin'ny espace anaran'ny mpampiasa, izay mamatotra ny kaontera rlimit tsirairay amin'ny mpampiasa iray ao amin'ny "namespace mpampiasa". Ny fanovana dia mamaha ny olana amin'ny fampiasana kaontera loharano mahazatra rehefa misy mpampiasa iray manao dingana amin'ny kaontenera samihafa;
    • Ny hypervisor KVM ho an'ny rafitra ARM64 dia nanampy ny fahafahana mampiasa ny fanitarana MTE (MemTag, Memory Tagging Extension) amin'ny rafitra vahiny, izay ahafahanao mamehy marika amin'ny hetsika famatsiana fahatsiarovana tsirairay ary mandamina ny fanamarinana ny fampiasana marina ny tondro hanakanana ny fitrandrahana ny fahalemena ateraky ny fidirana amin'ireo sakana fitadidiana efa navotsotra, mihoa-pampana ny buffer, fidirana alohan'ny fanombohana sy fampiasana ivelan'ny toe-javatra misy ankehitriny;
    • Ny Authentication Pointer nomen'ny sehatra ARM64 dia azo amboarina mitokana ho an'ny kernel sy ny habaka mpampiasa. Ny teknΓ΄lΓ΄jia dia ahafahanao mampiasa torolalana ARM64 manokana hanamarinana ny adiresy miverina amin'ny fampiasana sonia nomerika izay voatahiry ao amin'ny bitika ambony tsy ampiasaina amin'ny tondro;
    • amin'ny User-mode Linux nanampy fanohanana ny fampiasana mpamily ho an'ny fitaovana PCI miaraka amin'ny fiara fitateram-bahoaka PCI virtoaly, napetraky ny mpamily PCI-over-virtio;
    • ho an'ny rafitra x86, fanampiana fanampiny ho an'ny fitaovana virtio-iommu paravirtualized, izay ahafahanao mandefa ny fangatahana IOMMU, toy ny ATTACH, DETACH, MAP ary UNMAP, amin'ny fitaterana virtio nefa tsy maka tahaka ny latabatra pejy fahatsiarovana;
    • Ho an'ny CPU Intel, avy amin'ny fianakaviana Skylake ka hatrany amin'ny Coffee Lake, ny fampiasana Intel TSX (Transactional Synchronization Extensions), izay manome fitaovana hanatsarana ny fampandehanana ny rindranasa maromaro amin'ny alΓ lan'ny fanafoanana amin'ny fomba mavitrika ny asa fampifanarahana tsy ilaina, dia kilemaina amin'ny alΓ lan'ny default. Ny fanitarana dia kilemaina noho ny mety hisian'ny fanafihana Zombieload, fanodinkodinana ny fiparitahan'ny vaovao amin'ny alΓ lan'ny fantsona an'ny antoko fahatelo izay mitranga mandritra ny fiasan'ny mekanika amin'ny fahatapahana asynchronous amin'ny asa (TAA, TSX Asynchronous Abort);
  • tambajotra subsystem:
    • fanohizana ny fampidirana ao amin'ny ivon'ny MPTCP (MultiPath TCP), fanitarana ny protocole TCP amin'ny fandaminana ny fampandehanana ny fifandraisana TCP miaraka amin'ny fandefasana fonosana miaraka amin'ny lalana maromaro amin'ny alΓ lan'ny tambajotra samihafa mifandray amin'ny adiresy IP samihafa. Ao amin'ny laharana vaovao nanampy rafitra iray hametrahana ny politikan'ny hashing amin'ny fifamoivoizana manokana ho an'ny IPv4 sy IPv6 (politikan'ny hash marobe), izay ahafahan'ny mpampiasa mamaritra hoe iza amin'ireo saha ao anaty fonosana, ao anatin'izany ny encapsulated, no hampiasaina rehefa manisa ny hash izay mamaritra ny safidin'ny lalana. ho an'ny fonosana;
    • Ny fanohanana socket dia nampiana tamin'ny virtio transport virtoaly SOCK_SEQPACKET (fifindran'ny datagrams milamina sy azo antoka);
    • Nitarina ny fahafahan'ny mekanika socket SO_REUSEPORT, izay ahafahan'ny socket fihainoana maromaro mifandray amin'ny seranana iray indray mandeha mba handraisana fifandraisana miaraka amin'ny fizarana ny fangatahana miditra miaraka amin'ny socket rehetra mifandray amin'ny SO_REUSEPORT, izay manamora ny famoronana rindranasa mpizara maromaro. . Amin'ny dikan-teny vaovao nanampy midika ho famindrana ny fanaraha-maso amin'ny socket hafa raha toa ka tsy mahomby ny fanodinana ny fangatahana amin'ny socket voafantina voalohany (mamaha ny olana amin'ny fahaverezan'ny fifandraisan'ny tsirairay rehefa manomboka ny serivisy);
  • fitaovana:
    • amin'ny mpamily amdgpu ampiharina fanohanana ny andiany GPU vaovao AMD Radeon RX 6000, antsoina hoe "Beige Goby" (Navi 24) sy "Yellow Carp", ary koa ny fanohanana ny Aldebaran GPU (gfx90a) sy Van Gogh APU. Nampiana ny fahafahana miasa miaraka amin'ny takelaka eDP maromaro. Ho an'ny APU Renoir, dia nampiharina ny fanohanana ny fiaraha-miasa amin'ny buffers miafina ao amin'ny fitadidiana horonan-tsary (TMZ, Zone fahatsiarovana azo itokisana). Fanampiana fanampiny ho an'ny carte de graphique hot-unplug. Ho an'ny GPU Radeon RX 6000 (Navi 2x) sy ny GPU AMD taloha, ny fanohanana ny rafitra fitsitsiana herinaratra ASPM (Active State Power Management) dia alefa amin'ny alΓ lan'ny default, izay natao ho an'ny Navi 1x, Vega ary Polaris GPU ihany teo aloha;
    • ho an'ny chips AMD, ny fanohanana ny fahatsiarovana virtoaly iombonana (SVM, fahatsiarovana virtoaly iombonana) dia nampiana mifototra amin'ny subsystem HMM (Heterogeneous memory management), izay mamela ny fampiasana fitaovana miaraka amin'ny tarika fitantanana fahatsiarovana azy manokana (MMU, vondrona fitantanana fahatsiarovana), izay afaka miditra amin'ny fitadidiana fototra. Tafiditra ao anatin'izany ny fampiasana HMM, azonao atao ny mandamina toerana misy adiresy iraisan'ny GPU sy CPU, izay ahafahan'ny GPU miditra amin'ny fitadidiana fototra amin'ny dingana;
    • nanampy fanohanana ara-teknolojia voalohany AMD Smart Shift, izay manova mavitrika ny masontsivana fanjifana herinaratra an'ny CPU sy GPU amin'ny solosaina finday misy chipset sy karatra grafika AMD mba hanamafisana ny fahombiazany rehefa milalao lalao, fanitsiana horonan-tsary ary famoahana 3D;
    • ao amin'ny mpamily i915 ho an'ny karatra video Intel tafiditra fanohanana ny Intel Alderlake P chips;
    • nanampy mpamily drm/hyperv ho an'ny adaptatera sary virtoaly Hyper-V;
    • nanampy simpledrm graphic driver izay mampiasa ny EFI-GOP na VESA framebuffer nomen'ny UEFI firmware na BIOS ho an'ny famoahana. Ny tanjon'ny mpamily dia ny manome fahafaha-mamokatra sary mandritra ny dingana voalohany amin'ny boot, alohan'ny hampiasana mpamily DRM iray feno. Ny mpamily ihany koa dia azo ampiasaina ho vahaolana vonjimaika ho an'ny fitaovana izay tsy mbola manana mpamily DRM teratany;
    • nanampy fanohanana solosaina rehetra ao amin'ny iray Raspberry Pi 400;
    • Nampiana mpamily dell-wmi-privacy hanohanana ny fakan-tsarimihetsika fakan-tsary sy mikrofona tafiditra ao amin'ny solosaina finday Dell;
    • ho an'ny solosaina finday Lenovo nanampy WMI interface tsara hanova ny BIOS masontsivana amin'ny alalan'ny sysfs /sys/class/firmware-attributes/;
    • nitarina fanohanana ny fitaovana amin'ny USB4 interface tsara;
    • nanampy fanohanana ny kara-peo sy codec AmLogic SM1 TOACODEC, Intel AlderLake-M, NXP i.MX8, NXP TFA1, TDF9897, Rockchip RK817, Qualcomm Quinary MI2 ary Texas Instruments TAS2505. Fanohanana feo nohatsaraina amin'ny solosaina finday HP sy ASUS. nanampy patch mba hampihenana ny fahatarana alohan'ny hanombohan'ny feo amin'ny fitaovana misy interface USB.

Loharano – opennet.ru.

Source: linux.org.ru