Topic: Blog

Superbank sy supercurrency

Tetikasa ho an'ny banky herinaratra eran-tany / nasionaly ary vola cosmopolitan tokana. Raha ny tena izy, ny tetikasa toy izany dia hitondra ny zanak'olombelona ho ao amin'ny orbitan'ny fisokafana vaovao, tsy azo idirana teo aloha, ny maha-iraisam-pirenena sy ny mangarahara amin'ny fifandraisana ara-nofo rehetra. Ary i Rosia, amin'ny maha-firenena manana velaran-tany sy sehatry ny angovo lehibe indrindra, dia mety ho voalohany amin'ny fanombohana ny dingana toy izany. Eritrereto miaraka amiko ny tontolo maoderina, izay ahitana dolara, sekely, […]

Southbridge any Chelyabinsk sy Bitrix any Kubernetes

Ny fihaonan'ny mpitantana ny rafitra Sysadminka dia atao ao Chelyabinsk, ary tamin'ny farany dia nanao tatitra momba ny vahaolana ho an'ny fampiharana amin'ny 1C-Bitrix ao Kubernetes aho. Bitrix, Kubernetes, Ceph - mifangaro tsara? Holazaiko aminao ny fomba nanangonay vahaolana miasa amin'izany rehetra izany. Mandehana! Ny fihaonana dia natao ny 18 aprily tao Chelyabinsk. Azonao atao ny mamaky momba ny fihaonanay ao amin'ny Timepad ary mijery [...]

Fandrahonana fito avy amin'ny bots mankany amin'ny tranokalanao

Ny fanafihana DDoS dia mijanona ho iray amin'ireo lohahevitra resahina indrindra eo amin'ny sehatry ny fiarovana ny vaovao. Mandritra izany fotoana izany, tsy ny rehetra no mahafantatra fa ny fifamoivoizana bot, izay fitaovana amin'ny fanafihana toy izany, dia miteraka loza hafa ho an'ny orinasa an-tserasera. Miaraka amin'ny fanampian'ny bots, ny mpanafika dia tsy vitan'ny hoe manimba tranokala, fa mangalatra angon-drakitra ihany koa, manodina ny mari-pamantarana ara-barotra, mampitombo ny vidin'ny dokam-barotra, manimba ny laza [...]

Fanao efa lany andro ny fanovana tenimiafina tsindraindray, fotoana tokony hialana amin'izany

Ny rafitra IT maro dia manana fitsipika tsy maintsy atao amin'ny fanovana tsindraindray ny tenimiafina. Izany angamba no tena ankahalaina sy tsy misy ilàna azy indrindra amin'ny rafitra fiarovana. Ny mpampiasa sasany dia manova fotsiny ny isa amin'ny farany ho toy ny hack life. Niteraka fahasahiranana be io fanao io. Tsy maintsy niaritra anefa ny olona, ​​satria natao ho fiarovana. Ankehitriny ity torohevitra ity dia tsy misy dikany mihitsy. Tamin'ny May 2019, Microsoft […]

"Miaina ambony" na ny tantarako manomboka amin'ny fanemorana mankany amin'ny fampandrosoana ny tena

Salama namana. Androany isika dia tsy hiresaka momba ny lafiny sarotra sy tsy dia sarotra amin'ny fiteny fandaharana na karazana Rocket Science. Androany aho dia hitantara tantara fohy momba ny fomba nandraisako ny lalan'ny programmer. Ity no tantarako ary tsy azonao ovaina izany, fa raha manampy olona iray farafaharatsiny ho lasa matoky tena kokoa izany, dia […]

Inona no mety ho endriky ny rafitra informatika amin'ny ho avy?

Lazainay aminao ny zavatra vaovao mety hiseho amin'ny foibe data fa tsy ao amin'izy ireo ihany. / sary avy amin'i jesse orrico Unsplash Silicon transistor dia inoana fa manakaiky ny fetran'ny teknolojia. Tamin'ny farany dia niresaka momba ny fitaovana izay afaka manolo ny silisiôma isika ary niresaka momba ny fomba hafa amin'ny fampandrosoana ny transistor. Ankehitriny isika dia miresaka momba ny foto-kevitra izay afaka manova ny fitsipiky ny fiasan'ny rafitra informatika nentim-paharazana: […]

Mastodon 2.9.2

Mastodon dia “Twitter decentralisé”. Bilaogy mikraoba miparitaka manerana ireo lohamilina mahaleo tena maro mifamatotra amin'ny tambajotra iray. Ny analogue akaiky indrindra dia mailaka mahazatra. Afaka misoratra anarana amin'ny mpizara rehetra ianao ary misoratra anarana amin'ny hafatra avy amin'ny mpampiasa amin'ny lohamilina hafa. Fanovana (hatramin'ny v2.9.0) Fampiasa vaovao nampiana API ho an'ny antonony. Nampiana fampitana feo. Nampiana short_description sy approval_required amin'ny fomba GET […]

Ny fandraisana anjara amin'ny fiarovana ny Linux amin'ny fitakiana patanty dia mandalo mpandray anjara 3000

Ny Open Invention Network (OIN), fikambanana iray natokana hiarovana ny tontolo iainana Linux amin'ny fitakiana patanty, dia nanambara fa mihoatra ny 3000 ny mpikambana. Tao anatin'ny roa taona lasa, nitombo 50% ny isan'ny mpikambana OIN. Ohatra, nanomboka tamin'ny fiandohan'ity taona ity fotsiny, OIN dia nanampy orinasa, vondrom-piarahamonina ary fikambanana vaovao 350 hanasonia fifanarahana fahazoan-dàlana fizarana patanty. Ireo mpandray anjara amin'ny OIN dia manaiky ny tsy [...]

Famoahana ny GNU APL 1.8

Taorian'ny fampandrosoana roa taona mahery, ny GNU Project dia namoaka ny GNU APL 1.8, mpandika teny ho an'ny iray amin'ireo fiteny fandaharana tranainy indrindra, APL, izay mahafeno tanteraka ny fepetra takian'ny ISO 13751 (“Programming Language APL, Extended”). Ny fiteny APL dia natao ho an'ny fiaraha-miasa amin'ny laharan-tariby tsy misy dikany ary manohana isa be pitsiny, izay mahatonga azy ho malaza amin'ny kajy siantifika sy ny fanodinana data. […]

Nosokafan'i Microsoft ny kaody ho an'ny rafitra fitsinjarana fahatsiarovana mimalloc

Microsoft dia nanokatra ny tranomboky mimalloc eo ambanin'ny fahazoan-dàlana MIT miaraka amin'ny fampiharana ny rafitra fitsinjarana fahatsiarovana noforonina tany am-boalohany ho an'ireo singa fampandehanan'ny fiteny Koka sy Lean. Mimalloc dia namboarina ho ampiasaina amin'ny fampiharana mahazatra nefa tsy manova ny kaody ary afaka miasa ho toy ny fanoloana mangarahara ho an'ny fiasa malloc. Manohana ny asa amin'ny Windows, macOS, Linux, BSD ary rafitra hafa mitovy amin'ny Unix. Ny singa fototra amin'ny mimalloc […]

Ny fananganana Slackware vaovao dia nomanina ho ampahany amin'ny tetikasa TinyWare

Ny fananganana ny tetikasa TinyWare dia nomanina, mifototra amin'ny dikan-teny 32-bit an'ny Slackware-Current ary alefa miaraka amin'ny dikan-teny 32-bit sy 64-bit an'ny kernel Linux 4.19. Ny haben'ny sary iso dia 800 MB. Fiovana lehibe raha oharina amin'ny Slackware tany am-boalohany: Fametrahana amin'ny fizarana 4 "/", "/boot", "/var" ary "/home". Ny fizarazarana "/" sy "/ boot" dia apetraka amin'ny fomba vakiana fotsiny, fa ny fizarana "/ home" sy "/ var" kosa dia apetraka ao amin'ny […]

Ny zava-misy mitombo dia ahafahanao "manandrana" makiazy avy amin'ny bilaogy hatsaran-tarehy ao amin'ny YouTube

Ny fivoaran'ny teknolojia mitohy dia mitondra mankany amin'ny fanovana tsikelikely ny zava-misy ampitomboina ho fitaovana mahery vaika ahafahan'ny marika milaza amin'ny mpanjifa momba ny vokatra amin'ny fomba mahaliana sy mazava kokoa. Ny mpamorona avy amin'ny Google dia mampiditra ny teknolojia AR amin'ny serivisiny manokana, ka manitatra ny fahaizany. Fotoana vitsy lasa izay, nohavaozina ny sehatra mpamorona ARCore, ary nampidirina tao amin'ny serivisy Google Search ny fahaizan'ny zava-misy nampitomboina. Amin’ny […]