Matapaki: Ko te kaupapa OpenROAD e hiahia ana ki te whakaoti i te raru o te aunoatanga o te hoahoa tukatuka

Matapaki: Ko te kaupapa OpenROAD e hiahia ana ki te whakaoti i te raru o te aunoatanga o te hoahoa tukatuka
Whakaahua - Pexels — CC NA

Na hoatu PWC, kei te tipu haere te maakete hangarau semiconductor - i tera tau kua eke ki te $481 piriona. Engari ko tana tere tipu tata nei heke. I roto i nga take mo te heke ko te uaua o nga mahi hoahoa taputapu me te kore o te aunoatanga.

I etahi tau ki muri, ko nga miihini mai i Intel i tuhii te wa e hanga ana koe i tetahi microprocessor tino mahi me whakamahi koe 100–150 taputapu rorohiko motuhake (EDA). Ko te ahuatanga ka kaha ake i te keehi o nga taputapu rerekee, kei roto i te hoahoanga he maha nga momo maramara - ASIC, FPGA, CPU, GPU ranei. Ko te mutunga, ka puta nga hapa hoahoa e whakaroa ana i te tukunga o nga hua.

Ahakoa te maha o nga taputapu awhina, kei te kaha tonu nga miihini ki te mahi a-ringa. Ko nga kaituhi o te pukapuka "Hangarau Arorau Arā Atu Anō"E kii ana ratou i etahi wa ko nga kaihoahoa me tuhia nga tuhinga ki te Pukenga, ki te Python ranei e rua miriona rarangi hei hanga whare pukapuka pūtau.

Ka tuhia hoki nga tuhinga hei tarai i nga purongo i hangaia e nga punaha EDA. I te wa e whakawhanake ana i te maramara ma te whakamahi i te hangarau tukanga 22nm, ka eke ki te 30 terabytes enei purongo.

I whakatau a DARPA ki te whakatika i te ahuatanga me te ngana ki te whakataurite i nga mahinga hoahoa. I te tari hoki whakaarohiakua tawhito nga tikanga hanga maramara. Whakahaere whakarewahia hōtaka rima tau OpenROAD, e whai ana ki te whakawhanake taputapu hou hei whakaaunoa i nga tukanga hoahoa maramara.

He aha te momo kaupapa

He maha nga kaupapa kei roto i te kaupapa e whakamahi ana i te ako miihini me nga hangarau kapua hei whakaaunoa i nga waahanga takitahi o te hanga maramara. Hei wahanga o te kaupapa kei te whakawhanakehia (hoahoa 1) neke atu i te tekau nga taputapu. Ka whai ake ka korero mo etahi o enei: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Rere Rere he taputapu mo te whakahaere whare pukapuka RTL me GDSII. Ko enei ko nga konae raraunga he paerewa ahumahi mo te whakawhiti korero mo nga iahiko whakauru me o raatau topologies. Ko te otinga kei runga i te hangarau ipu Docker. Ka taea e koe te whakahaere Flow Runner i te kapua me te rohe. Ko te aratohu whakaurunga kei roto i te putunga whai mana i runga i GitHub.

REPlAce he otinga kapua e pa ana ki te ako miihini, kei a ia te kawenga mo te whakatakoto i nga waahanga ki runga maramara me te whakaaunoa i te ararere. Na etahi raraunga, ka piki ake te pai o te taputapu ma te 2-10% ka whakatauritea ki nga punaha matarohia. I tua atu, ko te whakatinanatanga i roto i te kapua ka ngawari ake te whakatikatika. Kei te waatea hoki te aratohu whakaurunga me te whirihoranga i roto i te putunga.

TritonCTS — he taputapu mo te arotau i nga karaka karaka ka tukuna ki te maramara. Ka awhina i nga tohu karaka ki nga waahi katoa o te taputapu me nga wa roa. Ko te kaupapa whakahaere kei runga H-rakau. Tenei huarahi maranga te pai o te tohatoha tohu ma te 30% i whakaritea ki nga tikanga tuku iho. E ai ki nga kaihanga, i te wa kei te heke mai ka taea te whakanui ake i tenei ahua ki te 56%. TritonCTS waehere puna me nga tuhinga e waatea ana i runga i GitHub.

OpenSTA — he miihini mo te tātaritanga wa pateko. Ka hoatu e te kaihoahoa te whai waahi ki te tirotiro i te mahi o te maramara i mua i te whakahiato. He tauira waehere i OpenSTA āhua rite penei.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Ka tautokohia e te taputapu nga whakaahuatanga netlist mo te waehere Verilog, nga whare pukapuka whakatakotoranga Liberty, nga konae SDC, aha atu.

Nga painga me nga huakore

Nga tohunga mai i IBM me IEEE whakanuiko nga hangarau kapua me te ako miihini kua roa kua roa mo te whakamahi ki te hanga maramara. Ki o ratou whakaaro, ka taea e te kaupapa DARPA te tauira angitu mo te whakatinanatanga o tenei whakaaro me ka hoatu te timatanga o nga huringa i roto i te ahumahi.

Ko te tumanako ano ko te ahua tuwhera o OpenROAD ka hanga he hapori kaha huri noa i nga taputapu me te kukume i nga tiimata hou.

Matapaki: Ko te kaupapa OpenROAD e hiahia ana ki te whakaoti i te raru o te aunoatanga o te hoahoa tukatuka
Whakaahua - Pexels — CC NA

Kua whai waahi kee - he taiwhanga hanga maramara kei te Whare Wananga o Michigan, ko te tuatahi, ko wai ka whakamatautau i nga taputapu puna tuwhera OpenROAD. Engari kaore ano i te mohiotia mena ka taea e nga otinga hou te whai paanga ki te utu o nga hua whakamutunga.

I roto i te katoa, ko nga taputapu e whakawhanakehia ana i raro i te kaiarahi a DARPA e tika ana kia whai hua pai ki te ahumahi tukatuka, a ka timata etahi atu kaupapa hou ki tenei waahanga. Ko tetahi tauira he taputapu GEDA - ka taea e koe te hoahoa maramara me te maha o nga waahanga. Kei roto i te gEDA nga taputapu mo te whakatika me te whakatauira i nga microcircuits me te ararere poari. I whakawhanakehia te otinga mo nga papaahi UNIX, engari he maha o ona waahanga e mahi ana i raro i te Matapihi. Ka kitea he aratohu ki te mahi tahi me ratou i roto i nga tuhinga i runga i te paetukutuku kaupapa.

Ko nga taputapu e waatea ana ka nui ake nga whiringa ki nga whakahaere motuhake me nga whakaoho. Ka roa pea, ko nga huarahi hou a OpenROAD ki te whanaketanga taputapu EDA me te hoahoa maramara ka noho hei paerewa ahumahi.

He aha ta matou e tuhi ana i roto i ta maatau blog umanga:

Source: will.com

Tāpiri i te kōrero