Π€ΠΎΡΠΎ -
ΠΠ°
ΠΡΠ΅Π΄ Π½Π΅ΠΊΠΎΠ»ΠΊΡ Π³ΠΎΠ΄ΠΈΠ½ΠΈ, ΠΈΠ½ΠΆΠ΅Π½Π΅ΡΠΈ ΠΎΠ΄ ΠΠ½ΡΠ΅Π»
Π ΠΏΠΎΠΊΡΠ°Ρ Π³ΠΎΠ»Π΅ΠΌΠΈΠΎΡ Π±ΡΠΎΡ Π½Π° ΠΏΠΎΠΌΠΎΡΠ½ΠΈ Π°Π»Π°ΡΠΊΠΈ, ΠΈΠ½ΠΆΠ΅Π½Π΅ΡΠΈΡΠ΅ ΡΠ΅ ΡΡΡΠ΅ ΡΠ΅ ΠΏΡΠΈΠ½ΡΠ΄Π΅Π½ΠΈ Π΄Π° Π²ΡΡΠ°Ρ Π½Π΅ΠΊΠΎΠΈ ΡΠ°Π±ΠΎΡΠΈ ΡΠ°ΡΠ½ΠΎ. ΠΠ²ΡΠΎΡΠΈΡΠ΅ Π½Π° ΠΊΠ½ΠΈΠ³Π°ΡΠ° β
ΠΠ°ΠΏΡΠ΅Π΄Π½Π° Π»ΠΎΠ³ΠΈΡΠΊΠ° ΡΠΈΠ½ΡΠ΅Π·Π° βΠ’ΠΈΠ΅ Π²Π΅Π»Π°Ρ Π΄Π΅ΠΊΠ° ΠΏΠΎΠ½Π΅ΠΊΠΎΠ³Π°Ρ Π΄ΠΈΠ·Π°ΡΠ½Π΅ΡΠΈΡΠ΅ΠΌΠΎΡΠ° Π΄Π° ΠΏΠΈΡΡΠ²Π°ΡΡΠ΅ ΡΠΊΡΠΈΠΏΡΠΈ Π²ΠΎ Skill ΠΈΠ»ΠΈ Python ΠΎΠ΄ Π΄Π²Π° ΠΌΠΈΠ»ΠΈΠΎΠ½ΠΈ Π»ΠΈΠ½ΠΈΠΈ Π·Π° Π΄Π° ΠΊΡΠ΅ΠΈΡΠ°ΡΠ΅ Π±ΠΈΠ±Π»ΠΈΠΎΡΠ΅ΠΊΠΈΠΊΠ»Π΅ΡΠΊΠΈ .Π‘ΠΊΡΠΈΠΏΡΠΈΡΠ΅ ΡΠ΅ ΠΏΠΈΡΡΠ²Π°Π°Ρ ΠΈ Π·Π° Π΄Π° ΡΠ΅ Π°Π½Π°Π»ΠΈΠ·ΠΈΡΠ°Π°Ρ ΠΈΠ·Π²Π΅ΡΡΠ°ΠΈΡΠ΅ Π³Π΅Π½Π΅ΡΠΈΡΠ°Π½ΠΈ ΠΎΠ΄ ΡΠΈΡΡΠ΅ΠΌΠΈΡΠ΅ ΠΠΠ. ΠΠΎΠ³Π° ΡΠ°Π·Π²ΠΈΠ²Π°ΡΠ΅ ΡΠΈΠΏ ΠΊΠΎΡΠΈΡΡΠ΅ΡΡΠΈ ΡΠ΅Ρ Π½ΠΎΠ»ΠΎΠ³ΠΈΡΠ° Π½Π° ΠΏΡΠΎΡΠ΅Ρ ΠΎΠ΄ 22 nm, ΠΎΠ²ΠΈΠ΅ ΠΈΠ·Π²Π΅ΡΡΠ°ΠΈ ΠΌΠΎΠΆΠ΅ Π΄Π° ΠΏΠΎΡΡΠ°Π°Ρ Π΄ΠΎ 30 ΡΠ΅ΡΠ°Π±Π°ΡΡΠΈ.
DARPA ΠΎΠ΄Π»ΡΡΠΈ Π΄Π° ΡΠ° ΠΏΠΎΠΏΡΠ°Π²ΠΈ ΡΠΈΡΡΠ°ΡΠΈΡΠ°ΡΠ° ΠΈ Π΄Π° ΡΠ΅ ΠΎΠ±ΠΈΠ΄Π΅ Π΄Π° Π³ΠΈ ΡΡΠ°Π½Π΄Π°ΡΠ΄ΠΈΠ·ΠΈΡΠ° ΠΏΡΠΎΡΠ΅ΡΠΈΡΠ΅ Π½Π° Π΄ΠΈΠ·Π°ΡΠ½ΠΈΡΠ°ΡΠ΅. Π Π²ΠΎ Π°Π³Π΅Π½ΡΠΈΡΠ°ΡΠ°
ΠΠ°ΠΊΠΎΠ² Π²ΠΈΠ΄ Π½Π° ΠΏΡΠΎΠ³ΡΠ°ΠΌΠ°
ΠΡΠΎΠ³ΡΠ°ΠΌΠ°ΡΠ° Π²ΠΊΠ»ΡΡΡΠ²Π° Π½Π΅ΠΊΠΎΠ»ΠΊΡ ΠΏΡΠΎΠ΅ΠΊΡΠΈ ΠΊΠΎΠΈ ΠΊΠΎΡΠΈΡΡΠ°Ρ ΠΌΠ°ΡΠΈΠ½ΡΠΊΠΎ ΡΡΠ΅ΡΠ΅ ΠΈ ΠΎΠ±Π»Π°ΠΊ ΡΠ΅Ρ
Π½ΠΎΠ»ΠΎΠ³ΠΈΠΈ Π·Π° Π°Π²ΡΠΎΠΌΠ°ΡΠΈΠ·ΠΈΡΠ°ΡΠ΅ Π½Π° ΠΏΠΎΠ΅Π΄ΠΈΠ½Π΅ΡΠ½ΠΈΡΠ΅ ΡΠ°Π·ΠΈ Π½Π° ΡΠΎΠ·Π΄Π°Π²Π°ΡΠ΅ ΡΠΈΠΏΠΎΠ²ΠΈ. ΠΠ°ΠΊΠΎ Π΄Π΅Π» ΠΎΠ΄ ΠΈΠ½ΠΈΡΠΈΡΠ°ΡΠΈΠ²Π°ΡΠ°
ΠΡΠΎΡΠΎΡΠ΅Π½ ΡΡΠΊΠ°Ρ Π΅ Π°Π»Π°ΡΠΊΠ° Π·Π° ΡΠΏΡΠ°Π²ΡΠ²Π°ΡΠ΅ ΡΠΎ Π±ΠΈΠ±Π»ΠΈΠΎΡΠ΅ΠΊΠΈΡΠ΅ RTL ΠΈ GDSII. ΠΠΎΡΠ»Π΅Π΄Π½ΠΈΡΠ΅ ΡΠ΅ Π΄Π°ΡΠΎΡΠ΅ΠΊΠΈ ΡΠΎ Π±Π°Π·ΠΈ Π½Π° ΠΏΠΎΠ΄Π°ΡΠΎΡΠΈ ΠΊΠΎΠΈ ΡΠ΅ ΠΈΠ½Π΄ΡΡΡΡΠΈΡΠΊΠΈ ΡΡΠ°Π½Π΄Π°ΡΠ΄ Π·Π° ΡΠ°Π·ΠΌΠ΅Π½Π° Π½Π° ΠΈΠ½ΡΠΎΡΠΌΠ°ΡΠΈΠΈ Π·Π° ΠΈΠ½ΡΠ΅Π³ΡΠΈΡΠ°Π½ΠΈΡΠ΅ ΠΊΠΎΠ»Π° ΠΈ Π½ΠΈΠ²Π½ΠΈΡΠ΅ ΡΠΎΠΏΠΎΠ»ΠΎΠ³ΠΈΠΈ. Π Π΅ΡΠ΅Π½ΠΈΠ΅ΡΠΎ ΡΠ΅ Π·Π°ΡΠ½ΠΎΠ²Π° Π½Π° ΡΠ΅Ρ
Π½ΠΎΠ»ΠΎΠ³ΠΈΡΠ°ΡΠ° Π·Π° ΠΊΠΎΠ½ΡΠ΅ΡΠ½Π΅ΡΠΈ Docker. ΠΠΎΠΆΠ΅ΡΠ΅ Π΄Π° Π³ΠΎ ΡΡΠ°ΡΡΡΠ²Π°ΡΠ΅ Flow Runner ΠΈ Π²ΠΎ ΠΎΠ±Π»Π°ΠΊ ΠΈ Π»ΠΎΠΊΠ°Π»Π½ΠΎ. Π£ΠΏΠ°ΡΡΡΠ²ΠΎΡΠΎ Π·Π° ΠΈΠ½ΡΡΠ°Π»Π°ΡΠΈΡΠ° Π΅ Π²ΠΎ ΠΎΡΠΈΡΠΈΡΠ°Π»Π½ΠΎΡΠΎ ΡΠΊΠ»Π°Π΄ΠΈΡΡΠ΅
ΠΠΠΠΠΠ Π΅ ΠΎΠ±Π»Π°ΠΊ ΡΠ΅ΡΠ΅Π½ΠΈΠ΅ Π·Π°ΡΠ½ΠΎΠ²Π°Π½ΠΎ Π½Π° ΠΌΠ°ΡΠΈΠ½ΡΠΊΠΎ ΡΡΠ΅ΡΠ΅, ΠΊΠΎΠ΅ Π΅ ΠΎΠ΄Π³ΠΎΠ²ΠΎΡΠ½ΠΎ Π·Π° ΠΏΠΎΡΡΠ°Π²ΡΠ²Π°ΡΠ΅ Π½Π° ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½ΡΠΈ Π½Π° ΡΠΈΠΏ ΠΈ Π°Π²ΡΠΎΠΌΠ°ΡΠΈΠ·ΠΈΡΠ°ΡΠ΅ Π½Π° ΡΡΡΠΈΡΠ°ΡΠ΅ΡΠΎ. ΠΠ΄ ΡΡΡΠ°Π½Π° Π½Π°
TritonCTS β Π°Π»Π°ΡΠΊΠ° Π·Π° ΠΎΠΏΡΠΈΠΌΠΈΠ·ΠΈΡΠ°ΡΠ΅ Π½Π° ΡΠ°ΡΠΎΠ²Π½ΠΈΡΠ΅ ΠΈΠΌΠΏΡΠ»ΡΠΈ Π΄ΠΎΡΡΠ°Π²Π΅Π½ΠΈ Π΄ΠΎ ΡΠΈΠΏΠΎΡ. ΠΠΎΠΌΠ°Π³Π° Π΄Π° Π³ΠΈ Π½Π°ΡΠΎΡΠΈ ΡΠΈΠ³Π½Π°Π»ΠΈΡΠ΅ Π½Π° ΡΠ°ΡΠΎΠ²Π½ΠΈΠΊΠΎΡ Π΄ΠΎ ΡΠΈΡΠ΅ Π΄Π΅Π»ΠΎΠ²ΠΈ Π½Π° ΡΡΠ΅Π΄ΠΎΡ ΡΠΎ ΠΈΡΡΠΈ Π΄ΠΎΡΠ½Π΅ΡΠ°. ΠΠΏΠ΅ΡΠ°ΡΠΈΠ²Π½ΠΈΠΎΡ ΠΏΡΠΈΠ½ΡΠΈΠΏ ΡΠ΅ Π·Π°ΡΠ½ΠΎΠ²Π° Π½Π°
OpenSTA - ΠΠΎΡΠΎΡ Π·Π° ΡΡΠ°ΡΠΈΡΠΊΠ° Π°Π½Π°Π»ΠΈΠ·Π° Π½Π° ΡΠ°ΡΠΌΠΈΠ½Π³. Π’ΠΎΠ° ΠΌΡ Π΄Π°Π²Π° ΠΌΠΎΠΆΠ½ΠΎΡΡ Π½Π° Π΄ΠΈΠ·Π°ΡΠ½Π΅ΡΠΎΡ Π΄Π° ΡΠ° ΠΏΡΠΎΠ²Π΅ΡΠΈ ΡΡΠ½ΠΊΡΠΈΠΎΠ½Π°Π»Π½ΠΎΡΡΠ° Π½Π° ΡΠΈΠΏΠΎΡ ΠΏΡΠ΅Π΄ ΡΠΎΡ Π½Π°Π²ΠΈΡΡΠΈΠ½Π° Π΄Π° ΡΠ΅ ΡΠΎΡΡΠ°Π²ΠΈ. ΠΡΠΈΠΌΠ΅Ρ ΠΊΠΎΠ΄ Π²ΠΎ OpenSTA
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
Π£ΡΠ»ΡΠΆΠ½Π°ΡΠ° Π°Π»Π°ΡΠΊΠ° ΠΏΠΎΠ΄Π΄ΡΠΆΡΠ²Π° ΠΎΠΏΠΈΡΠΈ Π½Π° Π½Π΅Ρ-Π»ΠΈΡΡΠ°ΡΠ° Π½Π° ΠΊΠΎΠ΄ΠΎΡ Π½Π° Verilog, Π±ΠΈΠ±Π»ΠΈΠΎΡΠ΅ΠΊΠΈΡΠ΅ ΡΠΎ ΡΠΎΡΠΌΠ°Ρ Π½Π° Liberty, Π΄Π°ΡΠΎΡΠ΅ΠΊΠΈΡΠ΅ SDC ΠΈΡΠ½.
ΠΡΠ΅Π΄Π½ΠΎΡΡΠΈ ΠΈ Π½Π΅Π΄ΠΎΡΡΠ°ΡΠΎΡΠΈ
ΠΠΊΡΠΏΠ΅ΡΡΠΈ ΠΎΠ΄ IBM ΠΈ IEEE
ΠΡΡΠΎ ΡΠ°ΠΊΠ°, ΡΠ΅ ΠΎΡΠ΅ΠΊΡΠ²Π° Π΄Π΅ΠΊΠ° ΠΎΡΠ²ΠΎΡΠ΅Π½Π°ΡΠ° ΠΏΡΠΈΡΠΎΠ΄Π° Π½Π° OpenROAD ΡΠ΅ ΡΠΎΠ·Π΄Π°Π΄Π΅ ΠΌΠΎΡΠ½Π° Π·Π°Π΅Π΄Π½ΠΈΡΠ° ΠΎΠΊΠΎΠ»Ρ Π°Π»Π°ΡΠΊΠΈΡΠ΅ ΠΈ ΡΠ΅ ΠΏΡΠΈΠ²Π»Π΅ΡΠ΅ Π½ΠΎΠ²ΠΈ ΡΡΠ°ΡΡΠ°ΠΏΠΈ.
Π€ΠΎΡΠΎ -
ΠΠ΅ΡΠ΅ ΠΈΠΌΠ° ΡΡΠ΅ΡΠ½ΠΈΡΠΈ - Π»Π°Π±ΠΎΡΠ°ΡΠΎΡΠΈΡΠ° Π·Π° ΡΠ°Π·Π²ΠΎΡ Π½Π° ΡΠΈΠΏΠΎΠ²ΠΈ ΡΠΎ ΡΠ΅Π΄ΠΈΡΡΠ΅ Π½Π° Π£Π½ΠΈΠ²Π΅ΡΠ·ΠΈΡΠ΅ΡΠΎΡ Π²ΠΎ ΠΠΈΡΠΈΠ³Π΅Π½,
Π‘Π΅Π²ΠΊΡΠΏΠ½ΠΎ, Π°Π»Π°ΡΠΊΠΈΡΠ΅ ΡΡΠΎ ΡΠ΅ ΡΠ°Π·Π²ΠΈΠ²Π°Π°Ρ ΠΏΠΎΠ΄ Π²ΠΎΠ΄ΡΡΠ²ΠΎ Π½Π° DARPA ΡΠ΅ ΠΎΡΠ΅ΠΊΡΠ²Π° Π΄Π° ΠΈΠΌΠ°Π°Ρ ΠΏΠΎΠ·ΠΈΡΠΈΠ²Π½ΠΎ Π²Π»ΠΈΡΠ°Π½ΠΈΠ΅ Π²ΡΠ· ΠΏΡΠΎΡΠ΅ΡΠΎΡΡΠΊΠ°ΡΠ° ΠΈΠ½Π΄ΡΡΡΡΠΈΡΠ° ΠΈ ΡΠ΅ ΠΏΠΎΡΠ½Π°Ρ Π΄Π° ΡΠ΅ ΠΏΠΎΡΠ°Π²ΡΠ²Π°Π°Ρ ΠΏΠΎΠ²Π΅ΡΠ΅ Π½ΠΎΠ²ΠΈ ΠΏΡΠΎΠ΅ΠΊΡΠΈ Π²ΠΎ ΠΎΠ²Π°Π° ΠΎΠ±Π»Π°ΡΡ. ΠΡΠΈΠΌΠ΅Ρ Π±ΠΈ Π±ΠΈΠ» Π°Π»Π°ΡΠΊΠ°
ΠΠ΅ΡΠΏΠ»Π°ΡΠ½ΠΎ Π΄ΠΎΡΡΠ°ΠΏΠ½ΠΈΡΠ΅ Π°Π»Π°ΡΠΊΠΈ ΠΈΠΌ Π΄Π°Π²Π°Π°Ρ ΠΏΠΎΠ²Π΅ΡΠ΅ ΠΎΠΏΡΠΈΠΈ Π½Π° Π½Π΅Π·Π°Π²ΠΈΡΠ½ΠΈΡΠ΅ ΠΎΡΠ³Π°Π½ΠΈΠ·Π°ΡΠΈΠΈ ΠΈ ΡΡΠ°ΡΡΠ°ΠΏΠΈ. ΠΠΎΠΆΠ½ΠΎ Π΅ ΡΠΎ ΡΠ΅ΠΊΠΎΡ Π½Π° Π²ΡΠ΅ΠΌΠ΅ΡΠΎ, Π½ΠΎΠ²ΠΈΡΠ΅ ΠΏΡΠΈΡΡΠ°ΠΏΠΈ Π½Π° OpenROAD Π·Π° ΡΠ°Π·Π²ΠΎΡ Π½Π° Π°Π»Π°ΡΠΊΠΈ Π·Π° EDA ΠΈ Π΄ΠΈΠ·Π°ΡΠ½ Π½Π° ΡΠΈΠΏΠΎΠ²ΠΈ Π΄Π° ΡΡΠ°Π½Π°Ρ ΠΈΠ½Π΄ΡΡΡΡΠΈΡΠΊΠΈ ΡΡΠ°Π½Π΄Π°ΡΠ΄.
ΠΠ° ΡΡΠΎ ΠΏΠΈΡΡΠ²Π°ΠΌΠ΅ Π½Π° Π½Π°ΡΠΈΠΎΡ ΠΊΠΎΡΠΏΠΎΡΠ°ΡΠΈΠ²Π΅Π½ Π±Π»ΠΎΠ³:
ΠΡΠΊΠ°ΡΡΠ²Π°ΡΠ΅: Cisco UCS B480 M5 Blade Server ΠΡΠΊΡΠΈΠ²Π°ΡΠ΅ Π½Π° ΠΊΡΡΠΈΡΠ°ΡΠ°: ΡΠΈΡΡΠ΅ΠΌ Π·Π° ΡΠΊΠ»Π°Π΄ΠΈΡΠ°ΡΠ΅ ΡΠ΅Π»ΠΎΡΠ½ΠΎ Π±Π»ΠΈΡ NetApp AFF A300 - ΠΏΠΎΠ³Π»Π΅Π΄ ΠΎΠ΄Π²Π½Π°ΡΡΠ΅ Π‘Π΅ΡΠ²Π΅ΡΠΈ ΡΠΎ Π²ΠΈΡΠΎΠΊΠ° Π³ΡΡΡΠΈΠ½Π°: Π°Π½Π°Π»ΠΈΠ·Π° Π½Π° ΡΠ΅ΡΠ΅Π½ΠΈΡΠ° Cisco UCS - unboxing
ΠΠ·Π²ΠΎΡ: www.habr.com