เดšเตผเดšเตเดš: เด“เดชเตเดชเตบเดฑเต‹เดกเต เดชเตเดฐเต‹เดœเด•เตเดฑเตเดฑเต เดชเตเดฐเต‹เดธเดธเตผ เดกเดฟเดธเตˆเดจเดฟเดจเตเดฑเต† เด“เดŸเตเดŸเต‹เดฎเต‡เดทเตป เดชเตเดฐเดถเตเดจเด‚ เดชเดฐเดฟเดนเดฐเดฟเด•เตเด•เดพเตป เด‰เดฆเตเดฆเต‡เดถเดฟเด•เตเด•เตเดจเตเดจเต

เดšเตผเดšเตเดš: เด“เดชเตเดชเตบเดฑเต‹เดกเต เดชเตเดฐเต‹เดœเด•เตเดฑเตเดฑเต เดชเตเดฐเต‹เดธเดธเตผ เดกเดฟเดธเตˆเดจเดฟเดจเตเดฑเต† เด“เดŸเตเดŸเต‹เดฎเต‡เดทเตป เดชเตเดฐเดถเตเดจเด‚ เดชเดฐเดฟเดนเดฐเดฟเด•เตเด•เดพเตป เด‰เดฆเตเดฆเต‡เดถเดฟเด•เตเด•เตเดจเตเดจเต
- Pexels - CC BY

เดตเดดเดฟ เดจเตฝเด•เดฟ PWC, เด…เตผเดฆเตเดงเดšเดพเดฒเด• เดธเดพเด™เตเด•เต‡เดคเดฟเด• เดตเดฟเดชเดฃเดฟ เดตเดณเดฐเตเดจเตเดจเต - เด•เดดเดฟเดžเตเดž เดตเตผเดทเด‚ เด‡เดคเต 481 เดฌเดฟเดฒเตเดฏเตบ เดกเต‹เดณเดฑเดฟเดฒเต†เดคเตเดคเดฟ. เดŽเดจเตเดจเดพเตฝ เด…เดŸเตเดคเตเดคเดฟเดŸเต† เด…เดคเดฟเดจเตเดฑเต† เดตเดณเตผเดšเตเดšเดพ เดจเดฟเดฐเด•เตเด•เต เด•เตเดฑเดžเตเดžเต. เด†เดถเดฏเด•เตเด•เตเดดเดชเตเดชเดฎเตเดฃเตเดŸเดพเด•เตเด•เตเดจเตเดจ เด‰เดชเด•เดฐเดฃ เดกเดฟเดธเตˆเตป เดชเตเดฐเด•เตเดฐเดฟเดฏเด•เดณเตเด‚ เด“เดŸเตเดŸเต‹เดฎเต‡เดทเดจเตเดฑเต† เด…เดญเดพเดตเดตเตเด‚ เด•เตเดฑเดฏเดพเดจเตเดณเตเดณ เด•เดพเดฐเดฃเด™เตเด™เดณเดฟเตฝ เด‰เตพเดชเตเดชเต†เดŸเตเดจเตเดจเต.

เด•เตเดฑเดšเตเดšเต เดตเตผเดทเด™เตเด™เตพเด•เตเด•เต เดฎเตเดฎเตเดชเต, เด‡เดจเตเดฑเดฒเดฟเตฝ เดจเดฟเดจเตเดจเตเดณเตเดณ เดŽเดžเตเดšเดฟเดจเต€เดฏเตผเดฎเดพเตผ เดŽเดดเตเดคเดฟเด‰เดฏเตผเดจเตเดจ เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดคเดฏเตเดณเตเดณ เด’เดฐเต เดฎเตˆเด•เตเดฐเต‹เดชเตเดฐเตŠเดธเดธเตเดธเตผ เดธเตƒเดทเตเดŸเดฟเด•เตเด•เตเดฎเตเดชเต‹เตพ เดจเดฟเด™เตเด™เตพ 100โ€“150 เดชเตเดฐเดคเตเดฏเต‡เด• เดธเต‹เดซเตเดฑเตเดฑเตโ€Œเดตเต†เดฏเตผ เดŸเต‚เดณเตเด•เตพ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต (EDA). เดตเตˆเดตเดฟเดงเตเดฏเดฎเดพเตผเดจเตเดจ เด‰เดชเด•เดฐเดฃเด™เตเด™เดณเตเดŸเต† เด•เดพเดฐเตเดฏเดคเตเดคเดฟเตฝ เดธเตเดฅเดฟเดคเดฟ เด•เต‚เดŸเตเดคเตฝ เดตเดทเดณเดพเด•เตเด•เดพเด‚, เด‡เดคเดฟเดจเตเดฑเต† เดตเดพเดธเตเดคเตเดตเดฟเดฆเตเดฏเดฏเดฟเตฝ เดตเดฟเดตเดฟเดง เดคเดฐเดคเตเดคเดฟเดฒเตเดณเตเดณ เดšเดฟเดชเตเดชเตเด•เตพ เด‰เตพเดชเตเดชเต†เดŸเตเดจเตเดจเต - ASIC, FPGA, CPU เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ GPU. เดคเตฝเดซเดฒเดฎเดพเดฏเดฟ, เด‰เตฝเดชเตเดชเดจเตเดจเด™เตเด™เดณเตเดŸเต† เดฑเดฟเดฒเต€เดธเต เดตเตˆเด•เดฟเดชเตเดชเดฟเด•เตเด•เตเดจเตเดจ เดกเดฟเดธเตˆเตป เดชเดฟเดถเด•เตเด•เตพ เดธเด‚เดญเดตเดฟเด•เตเด•เตเดจเตเดจเต.

เดงเดพเดฐเดพเดณเด‚ เดธเดนเดพเดฏ เด‰เดชเด•เดฐเดฃเด™เตเด™เตพ เด‰เดฃเตเดŸเดพเดฏเดฟเดฐเตเดจเตเดจเดฟเดŸเตเดŸเตเด‚, เดŽเดžเตเดšเดฟเดจเต€เดฏเตผเดฎเดพเตผ เด‡เดชเตเดชเต‹เดดเตเด‚ เดšเดฟเดฒ เดœเต‹เดฒเดฟเด•เตพ เดธเตเดตเดฎเต‡เดงเดฏเดพ เดšเต†เดฏเตเดฏเดพเตป เดจเดฟเตผเดฌเดจเตเดงเดฟเดคเดฐเดพเด•เตเดจเตเดจเต. เดชเตเดธเตเดคเด•เดคเตเดคเดฟเดจเตเดฑเต† เดฐเดšเดฏเดฟเดคเดพเด•เตเด•เตพ "เดตเดฟเดชเตเดฒเดฎเดพเดฏ เดฒเต‹เดœเดฟเด•เต เดธเดฟเดจเตเดคเดธเดฟเดธเต"เดšเดฟเดฒเดชเตเดชเต‹เตพ เดกเดฟเดธเตˆเดจเตผเดฎเดพเตผ เดŽเดจเตเดจเต เด…เดตเตผ เดชเดฑเดฏเตเดจเตเดจเต เดšเต†เดฏเตเดฏเดฃเด‚ เดฒเตˆเดฌเตเดฐเดฑเดฟเด•เตพ เดธเตƒเดทเตโ€ŒเดŸเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเต เดธเตโ€Œเด•เดฟเตฝ เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เดชเตˆเดคเตเดคเดฃเดฟเตฝ เดฐเดฃเตเดŸเต เดฆเดถเดฒเด•เตเดทเด‚ เดตเดฐเดฟเด•เตพ เดธเตโ€Œเด•เตเดฐเดฟเดชเตเดฑเตเดฑเตเด•เตพ เดŽเดดเตเดคเตเด• เด•เต‹เดถเด™เตเด™เตพ.

EDA เดธเดฟเดธเตเดฑเตเดฑเด™เตเด™เตพ เดธเตƒเดทเตเดŸเดฟเด•เตเด•เตเดจเตเดจ เดฑเดฟเดชเตเดชเต‹เตผเดŸเตเดŸเตเด•เตพ เดชเดพเดดเตโ€Œเดธเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเตเด‚ เดธเตโ€Œเด•เตเดฐเดฟเดชเตเดฑเตเดฑเตเด•เตพ เดŽเดดเตเดคเตเดจเตเดจเต. 22nm เดชเตเดฐเต‹เดธเดธเตเดธเต เดธเดพเด™เตเด•เต‡เดคเดฟเด•เดตเดฟเดฆเตเดฏ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เด’เดฐเต เดšเดฟเดชเตเดชเต เดตเดฟเด•เดธเดฟเดชเตเดชเดฟเด•เตเด•เตเดฎเตเดชเต‹เตพ, เดˆ เดฑเดฟเดชเตเดชเต‹เตผเดŸเตเดŸเตเด•เตพเด•เตเด•เต 30 เดŸเต†เดฑเดพเดฌเตˆเดฑเตเดฑเตเด•เตพ เดตเดฐเต† เดŽเดŸเตเด•เตเด•เดพเด‚.

เดธเดพเดนเดšเดฐเตเดฏเด‚ เดถเดฐเดฟเดฏเดพเด•เตเด•เดพเดจเตเด‚ เดกเดฟเดธเตˆเตป เดชเตเดฐเด•เตเดฐเดฟเดฏเด•เตพ เดธเตเดฑเตเดฑเดพเตปเดกเต‡เตผเดกเต เดšเต†เดฏเตเดฏเดพเตป เดถเตเดฐเดฎเดฟเด•เตเด•เดพเดจเตเด‚ DARPA เดคเต€เดฐเตเดฎเดพเดจเดฟเดšเตเดšเต. เดเดœเตปเดธเดฟเดฏเดฟเดฒเตเด‚ เดชเดฐเดฟเด—เดฃเดฟเด•เตเด•เตเด•เดšเดฟเดชเตเดชเตเด•เตพ เดธเตƒเดทเตเดŸเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเตเดณเตเดณ เดจเดฟเดฒเดตเดฟเดฒเตเดณเตเดณ เดฐเต€เดคเดฟเด•เตพ เด•เดพเดฒเดนเดฐเดฃเดชเตเดชเต†เดŸเตเดŸเดคเดพเดฃเต†เดจเตเดจเต. เดธเด‚เด˜เดŸเดจ เดตเดฟเด•เตเดทเต‡เดชเดฟเดšเตเดšเต เด…เดžเตเดšเต เดตเตผเดทเดคเตเดคเต† เดชเตเดฐเต‹เด—เตเดฐเดพเด‚ เดคเตเดฑเดจเตเดจ เดฑเต‡เดพเดกเต, เดšเดฟเดชเตเดชเต เดกเดฟเดธเตˆเตป เดชเตเดฐเด•เตเดฐเดฟเดฏเด•เตพ เด“เดŸเตเดŸเต‹เดฎเต‡เดฑเตเดฑเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเต เดชเตเดคเดฟเดฏ เดŸเต‚เดณเตเด•เตพ เดตเดฟเด•เดธเดฟเดชเตเดชเดฟเด•เตเด•เดพเตป เด‡เดคเต เดฒเด•เตเดทเตเดฏเดฎเดฟเดŸเตเดจเตเดจเต.

เดŽเดจเตเดคเตŠเดฐเต เดชเดฐเดฟเดชเดพเดŸเดฟ

เดšเดฟเดชเตเดชเต เดจเดฟเตผเดฎเตเดฎเดพเดฃเดคเตเดคเดฟเดจเตเดฑเต† เดตเตเดฏเด•เตเดคเดฟเด—เดค เด˜เดŸเตเดŸเด™เตเด™เตพ เด“เดŸเตเดŸเต‹เดฎเต‡เดฑเตเดฑเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเต เดฎเต†เดทเต€เตป เดฒเต‡เดฃเดฟเด‚เด—เตเด‚ เด•เตเดฒเต—เดกเต เดธเดพเด™เตเด•เต‡เดคเดฟเด•เดตเดฟเดฆเตเดฏเด•เดณเตเด‚ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจ เดจเดฟเดฐเดตเดงเดฟ เดชเตเดฐเต‹เดœเด•เตเดŸเตเด•เตพ เดชเตเดฐเต‹เด—เตเดฐเดพเดฎเดฟเตฝ เด‰เตพเดชเตเดชเต†เดŸเตเดจเตเดจเต. เดธเด‚เดฐเด‚เดญเดคเตเดคเดฟเดจเตเดฑเต† เดญเดพเด—เดฎเดพเดฏเดฟ เดตเดฟเด•เดธเดฟเดชเตเดชเดฟเดšเตเดšเตเด•เตŠเดฃเตเดŸเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต (เดกเดฏเด—เตเดฐเด‚ 1) เดชเดคเตเดคเดฟเดฒเดงเดฟเด•เด‚ เด‰เดชเด•เดฐเดฃเด™เตเด™เตพ. เด…เดŸเตเดคเตเดคเดคเดพเดฏเดฟ เด…เดตเดฏเดฟเตฝ เดšเดฟเดฒเดคเดฟเดจเต†เด•เตเด•เตเดฑเดฟเดšเตเดšเต เด•เต‚เดŸเตเดคเตฝ เดตเดฟเดถเดฆเดฎเดพเดฏเดฟ เดธเด‚เดธเดพเดฐเดฟเด•เตเด•เดพเด‚: เดซเตเดฒเต‹ เดฑเดฃเตเดฃเตผ, เดฑเต€เดชเตเดฒเต‡เดธเต, เดŸเตเดฐเตˆเดฑเตเดฑเต‹เตบเดธเดฟเดŸเดฟเดŽเดธเต, เด“เดชเตเดชเตบเดŽเดธเตเดŸเดฟเดŽ.

เดซเตเดฒเต‹ เดฑเดฃเตเดฃเตผ RTL, GDSII เดฒเตˆเดฌเตเดฐเดฑเดฟเด•เตพ เด•เตˆเด•เดพเดฐเตเดฏเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเตเดณเตเดณ เด’เดฐเต เด‰เดชเด•เดฐเดฃเดฎเดพเดฃเต. เด‡เดจเตเดฑเด—เตเดฐเต‡เดฑเตเดฑเดกเต เดธเตผเด•เตเดฏเต‚เดŸเตเดŸเตเด•เดณเต†เด•เตเด•เตเดฑเดฟเดšเตเดšเตเด‚ เด…เดตเดฏเตเดŸเต† เดŸเต‹เดชเตเดชเต‹เดณเดœเดฟเด•เดณเต†เด•เตเด•เตเดฑเดฟเดšเตเดšเตเด‚ เดตเดฟเดตเดฐเด™เตเด™เตพ เด•เตˆเดฎเดพเดฑเตเดจเตเดจเดคเดฟเดจเตเดณเตเดณ เดตเตเดฏเดตเดธเดพเดฏ เดจเดฟเดฒเดตเดพเดฐเดฎเดพเดฏ เดกเดพเดฑเตเดฑเดพเดฌเต‡เดธเต เดซเดฏเดฒเตเด•เดณเดพเดฃเต เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต‡เดคเต. เดกเต‹เด•เตเด•เตผ เด•เดฃเตเดŸเต†เดฏเตโ€Œเดจเตผ เดธเดพเด™เตเด•เต‡เดคเดฟเด•เดตเดฟเดฆเตเดฏเดฏเต† เด…เดŸเดฟเดธเตเดฅเดพเดจเดฎเดพเด•เตเด•เดฟเดฏเตเดณเตเดณเดคเดพเดฃเต เดชเดฐเดฟเดนเดพเดฐเด‚. เดจเดฟเด™เตเด™เตพเด•เตเด•เต เด•เตเดฒเต—เดกเดฟเดฒเตเด‚ เดชเตเดฐเดพเดฆเต‡เดถเดฟเด•เดฎเดพเดฏเตเด‚ เดซเตเดฒเต‹ เดฑเดฃเตเดฃเตผ เดชเตเดฐเดตเตผเดคเตเดคเดฟเดชเตเดชเดฟเด•เตเด•เดพเตป เด•เดดเดฟเดฏเตเด‚. เด‡เตปเดธเตเดฑเตเดฑเดฒเต‡เดทเตป เด—เตˆเดกเต เด”เดฆเตเดฏเต‹เด—เดฟเด• เดถเต‡เด–เดฐเดคเตเดคเดฟเดฒเดพเดฃเต GitHub-เตฝ.

เดฑเต€เดชเตเดฒเต‡เดธเต เดฎเต†เดทเต€เตป เดฒเต‡เดฃเดฟเด‚เด—เดฟเดจเต† เด…เดŸเดฟเดธเตเดฅเดพเดจเดฎเดพเด•เตเด•เดฟเดฏเตเดณเตเดณ เด’เดฐเต เด•เตเดฒเต—เดกเต เดธเตŠเดฒเตเดฏเต‚เดทเดจเดพเดฃเต, เด‡เดคเต เด’เดฐเต เดšเดฟเดชเตเดชเดฟเตฝ เด˜เดŸเด•เด™เตเด™เตพ เดธเตเดฅเดพเดชเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเตเด‚ เดฑเต‚เดŸเตเดŸเดฟเด‚เด—เต เด“เดŸเตเดŸเต‹เดฎเต‡เดฑเตเดฑเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเตเด‚ เด‰เดคเตเดคเดฐเดตเดพเดฆเดฟเดฏเดพเดฃเต. เดŽเดดเตเดคเดฟเดฏเดคเต เดšเดฟเดฒ เดกเดพเดฑเตเดฑ, เด•เตเดฒเดพเดธเดฟเด•เตเด•เตฝ เดธเดฟเดธเตเดฑเตเดฑเด™เตเด™เดณเตเดฎเดพเดฏเดฟ เดคเดพเดฐเดคเดฎเตเดฏเดชเตเดชเต†เดŸเตเดคเตเดคเตเดฎเตเดชเต‹เตพ เด‡เดจเตเดฑเดฒเดฟเดœเดจเตเดฑเต เด…เตฝเด—เต‹เดฐเดฟเดคเด™เตเด™เตพ เด‰เดชเด•เดฐเดฃเดคเตเดคเดฟเดจเตเดฑเต† เด•เดพเดฐเตเดฏเด•เตเดทเดฎเดค 2-10% เดตเตผเดฆเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เตเดจเตเดจเต. เด•เต‚เดŸเดพเดคเต†, เด•เตเดฒเต—เดกเดฟเตฝ เดจเดŸเดชเตเดชเดฟเดฒเดพเด•เตเด•เตเดจเตเดจเดคเต เดธเตเด•เต†เดฏเดฟเดฒเดฟเด‚เด—เต เดŽเดณเตเดชเตเดชเดฎเดพเด•เตเด•เตเดจเตเดจเต. เด‡เตปเดธเตเดฑเตเดฑเดฒเต‡เดทเดจเตเด‚ เด•เต‹เตบเดซเดฟเด—เดฑเต‡เดทเดจเตเด‚ เด—เตˆเดกเตเด‚ เดฒเดญเตเดฏเดฎเดพเดฃเต เดถเต‡เด–เดฐเดคเตเดคเดฟเตฝ.

เดŸเตเดฐเตˆเดฑเตเดฑเต‹เตบเดธเดฟเดŸเดฟเดŽเดธเต - เดšเดฟเดชเตเดชเดฟเดฒเต‡เด•เตเด•เต เดตเดฟเดคเดฐเดฃเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจ เด•เตเดฒเต‹เด•เตเด•เต เดชเตพเดธเตเด•เตพ เด’เดชเตเดฑเตเดฑเดฟเดฎเตˆเดธเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเตเดณเตเดณ เด’เดฐเต เดฏเต‚เดŸเตเดŸเดฟเดฒเดฟเดฑเตเดฑเดฟ. เด’เดฐเต‡ เด•เดพเดฒเดคเดพเดฎเดธเดคเตเดคเต‹เดŸเต† เด‰เดชเด•เดฐเดฃเดคเตเดคเดฟเดจเตเดฑเต† เดŽเดฒเตเดฒเดพ เดญเดพเด—เด™เตเด™เดณเดฟเดฒเต‡เด•เตเด•เตเด‚ เด•เตเดฒเต‹เด•เตเด•เต เดธเดฟเด—เตเดจเดฒเตเด•เตพ เดฑเต‚เดŸเตเดŸเต เดšเต†เดฏเตเดฏเดพเตป เดธเดนเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต. เดชเตเดฐเดตเตผเดคเตเดคเดจ เดคเดคเตเดตเด‚ เด…เดŸเดฟเดธเตเดฅเดพเดจเดฎเดพเด•เตเด•เดฟเดฏเตเดณเตเดณเดคเดพเดฃเต เดŽเดšเตเดšเต-เดฎเดฐเด™เตเด™เตพ. เดˆ เดธเดฎเต€เดชเดจเด‚ เดตเตผเดฆเตเดงเดฟเด•เตเด•เตเดจเตเดจเต เดชเดฐเดฎเตเดชเดฐเดพเด—เดค เดฐเต€เดคเดฟเด•เดณเต† เด…เดชเต‡เด•เตเดทเดฟเดšเตเดšเต 30% เดธเดฟเด—เตเดจเตฝ เดตเดฟเดคเดฐเดฃ เด•เดพเดฐเตเดฏเด•เตเดทเดฎเดค. เดญเดพเดตเดฟเดฏเดฟเตฝ เดˆ เด•เดฃเด•เตเด•เต 56% เด†เดฏเดฟ เด‰เดฏเตผเดคเตเดคเดพเตป เด•เดดเดฟเดฏเตเดฎเต†เดจเตเดจเต เดกเดตเดฒเดชเตเดชเตผเดฎเดพเตผ เดชเดฑเดฏเตเดจเตเดจเต. TritonCTS เดธเต‹เดดเตเดธเต เด•เต‹เดกเตเด‚ เดธเตเด•เตเดฐเดฟเดชเตเดฑเตเดฑเตเด•เดณเตเด‚ เดฒเดญเตเดฏเดฎเดพเดฃเต GitHub-เตฝ.

เด“เดชเตเดชเตบเดŽเดธเตเดŸเดฟเดŽ - เดธเตเดฑเตเดฑเดพเดฑเตเดฑเดฟเด•เต เดŸเตˆเดฎเดฟเด‚เด—เต เดตเดฟเดถเด•เดฒเดจเดคเตเดคเดฟเดจเตเดณเตเดณ เด’เดฐเต เดŽเดžเตเดšเดฟเตป. เด‡เดคเต เดฏเดฅเดพเตผเดคเตเดฅเดคเตเดคเดฟเตฝ เด•เต‚เดŸเตเดŸเดฟเดšเตเดšเต‡เตผเด•เตเด•เตเดจเตเดจเดคเดฟเดจเต เดฎเตเดฎเตเดชเต เดšเดฟเดชเตเดชเดฟเดจเตเดฑเต† เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดค เดชเดฐเดฟเดถเต‹เดงเดฟเด•เตเด•เดพเตป เดกเดฟเดธเตˆเดจเตผเด•เตเด•เต เด…เดตเดธเดฐเด‚ เดจเตฝเด•เตเดจเตเดจเต. OpenSTA-เดฏเดฟเดฒเต† เด‰เดฆเดพเดนเดฐเดฃ เด•เต‹เดกเต เดชเต‹เดฒเต† เดคเต‹เดจเตเดจเตเดจเตเดจเต เด‡เดคเตเดชเต‡เดพเดฒเต†.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

เดตเต†เดฐเดฟเดฒเต‹เด—เต เด•เต‹เดกเต, เดฒเดฟเดฌเตผเดŸเตเดŸเดฟ เดซเต‹เตผเดฎเดพเดฑเตเดฑเต เดฒเตˆเดฌเตเดฐเดฑเดฟเด•เตพ, SDC เดซเดฏเดฒเตเด•เตพ เดฎเตเดคเดฒเดพเดฏเดตเดฏเตเดŸเต† เดจเต†เดฑเตเดฑเตโ€Œเดฒเดฟเดธเตเดฑเตเดฑเต เดตเดฟเดตเดฐเดฃเด™เตเด™เดณเต† เดฏเต‚เดŸเตเดŸเดฟเดฒเดฟเดฑเตเดฑเดฟ เดชเดฟเดจเตเดคเตเดฃเดฏเตเด•เตเด•เตเดจเตเดจเต.

เดชเตเดฐเดฏเต‹เดœเดจเด™เตเด™เตพ, เดฆเต‹เดทเด™เตเด™เตพ

IBM, IEEE เดŽเดจเตเดจเดฟเดตเดฏเดฟเตฝ เดจเดฟเดจเตเดจเตเดณเตเดณ เดตเดฟเดฆเด—เตเดงเตผ เด†เด˜เต‹เดทเดฟเด•เตเด•เต‚เด•เตเดฒเต—เดกเต เดŸเต†เด•เตเดจเต‹เดณเดœเดฟเด•เดณเตเด‚ เดฎเต†เดทเต€เตป เดฒเต‡เดฃเดฟเด‚เด—เตเด‚ เดšเดฟเดชเตเดชเต เดจเดฟเตผเดฎเตเดฎเดพเดฃเดคเตเดคเดฟเตฝ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเต เดตเดณเดฐเต† เด•เดพเดฒเดคเดพเดฎเดธเดฎเตเดฃเตเดŸเต†เดจเตเดจเต. เด…เดตเดฐเตเดŸเต† เด…เดญเดฟเดชเตเดฐเดพเดฏเดคเตเดคเดฟเตฝ, เดˆ เด†เดถเดฏเด‚ เดจเดŸเดชเตเดชเดฟเดฒเดพเด•เตเด•เตเดจเตเดจเดคเดฟเดจเตเดฑเต† เดตเดฟเดœเดฏเด•เดฐเดฎเดพเดฏ เด‰เดฆเดพเดนเดฐเดฃเดฎเดพเดฏเดฟ DARPA เดชเตเดฐเต‹เดœเด•เตเดฑเตเดฑเต เดฎเดพเดฑเตเด‚ เด‡เดŸเตเด‚ เดตเตเดฏเดตเดธเดพเดฏเดคเตเดคเดฟเดฒเต† เดฎเดพเดฑเตเดฑเด™เตเด™เดณเตเดŸเต† เดคเตเดŸเด•เตเด•เด‚.

เด“เดชเตเดชเตบเดฑเต‹เดกเดฟเดจเตเดฑเต† เดคเตเดฑเดจเตเดจ เดธเตเดตเดญเดพเดตเด‚ เดŸเต‚เดณเตเด•เตพเด•เตเด•เต เดšเตเดฑเตเดฑเตเด‚ เดถเด•เตเดคเดฎเดพเดฏ เด’เดฐเต เด•เดฎเตเดฎเตเดฏเต‚เดฃเดฟเดฑเตเดฑเดฟ เดธเตƒเดทเตเดŸเดฟเด•เตเด•เตเดฎเต†เดจเตเดจเตเด‚ เดชเตเดคเดฟเดฏ เดธเตเดฑเตเดฑเดพเตผเดŸเตเดŸเดชเตเดชเตเด•เดณเต† เด†เด•เตผเดทเดฟเด•เตเด•เตเดฎเต†เดจเตเดจเตเด‚ เดชเตเดฐเดคเต€เด•เตเดทเดฟเด•เตเด•เตเดจเตเดจเต.

เดšเตผเดšเตเดš: เด“เดชเตเดชเตบเดฑเต‹เดกเต เดชเตเดฐเต‹เดœเด•เตเดฑเตเดฑเต เดชเตเดฐเต‹เดธเดธเตผ เดกเดฟเดธเตˆเดจเดฟเดจเตเดฑเต† เด“เดŸเตเดŸเต‹เดฎเต‡เดทเตป เดชเตเดฐเดถเตเดจเด‚ เดชเดฐเดฟเดนเดฐเดฟเด•เตเด•เดพเตป เด‰เดฆเตเดฆเต‡เดถเดฟเด•เตเด•เตเดจเตเดจเต
- Pexels - CC BY

เด‡เดคเดฟเดจเด•เด‚ เดชเด™เตเด•เต†เดŸเตเด•เตเด•เตเดจเตเดจเดตเตผ เด‰เดฃเตเดŸเต - เดฎเดฟเดทเดฟเด—เตบ เดธเตผเดตเด•เดฒเดพเดถเดพเดฒเดฏเดฟเตฝ เดšเดฟเดชเตเดชเตเด•เตพ เดตเดฟเด•เดธเดฟเดชเตเดชเดฟเด•เตเด•เตเดจเตเดจ เด’เดฐเต เดฒเดฌเต‹เดฑเดŸเตเดŸเดฑเดฟ, เด†เดฆเตเดฏเดฎเดพเดฏเดฟเดฐเดฟเด•เตเด•เตเด‚, เด“เดชเตเดชเตบเดฑเต‹เดกเต เด“เดชเตเดชเตบ เดธเต‹เดดเตเดธเต เดŸเต‚เดณเตเด•เตพ เด†เตผ เดชเดฐเดฟเดถเต‹เดงเดฟเด•เตเด•เตเด‚. เดŽเดจเตเดจเดพเตฝ เดชเตเดคเดฟเดฏ เดชเดฐเดฟเดนเดพเดฐเด™เตเด™เตพเด•เตเด•เต เด…เดจเตเดคเดฟเดฎ เด‰เตฝเดชเตเดชเดจเตเดจเด™เตเด™เดณเตเดŸเต† เดตเดฟเดฒเดฏเดฟเตฝ เดถเตเดฐเดฆเตเดงเต‡เดฏเดฎเดพเดฏ เดธเตเดตเดพเดงเต€เดจเด‚ เดšเต†เดฒเตเดคเตเดคเดพเตป เด•เดดเดฟเดฏเตเดฎเต‹ เดŽเดจเตเดจเต เด‡เดคเตเดตเดฐเต† เด…เดฑเดฟเดตเดพเดฏเดฟเดŸเตเดŸเดฟเดฒเตเดฒ.

เดฎเตŠเดคเตเดคเดคเตเดคเดฟเตฝ, DARPA เดฏเตเดŸเต† เดจเต‡เดคเตƒเดคเตเดตเดคเตเดคเดฟเตฝ เดตเดฟเด•เดธเดฟเดชเตเดชเดฟเดšเตเดšเต†เดŸเตเด•เตเด•เตเดจเตเดจ เด‰เดชเด•เดฐเดฃเด™เตเด™เตพ เดชเตเดฐเต‹เดธเดธเตผ เดตเตเดฏเดตเดธเดพเดฏเดคเตเดคเดฟเตฝ เดจเดฒเตเดฒ เดธเตเดตเดพเดงเต€เดจเด‚ เดšเต†เดฒเตเดคเตเดคเตเดฎเต†เดจเตเดจเต เดชเตเดฐเดคเต€เด•เตเดทเดฟเด•เตเด•เตเดจเตเดจเต, เด•เต‚เดŸเดพเดคเต† เดˆ เดฎเต‡เด–เดฒเดฏเดฟเตฝ เด•เต‚เดŸเตเดคเตฝ เดชเตเดคเดฟเดฏ เดชเตเดฐเต‹เดœเด•เตเดŸเตเด•เตพ เด‰เดฏเตผเดจเตเดจเตเดตเดฐเดพเตป เดคเตเดŸเด™เตเด™เตเด‚. เด’เดฐเต เด‰เดฆเดพเดนเดฐเดฃเด‚ เด’เดฐเต เด‰เดชเด•เดฐเดฃเดฎเดพเดฏเดฟเดฐเดฟเด•เตเด•เตเด‚ gEDA - เดชเดฐเดฟเดงเดฟเดฏเดฟเดฒเตเดฒเดพเดคเตเดค เด˜เดŸเด•เด™เตเด™เตพ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดšเดฟเดชเตเดชเตเด•เตพ เดฐเต‚เดชเด•เตฝเดชเตเดชเดจ เดšเต†เดฏเตเดฏเดพเตป เด‡เดคเต เดจเดฟเด™เตเด™เดณเต† เด…เดจเตเดตเดฆเดฟเด•เตเด•เตเดจเตเดจเต. เดฎเตˆเด•เตเดฐเต‹ เดธเตผเด•เตเดฏเต‚เดŸเตเดŸเตเด•เดณเตเด‚ เดฌเต‹เตผเดกเต เดฑเต‚เดŸเตเดŸเดฟเด‚เด—เตเด‚ เดŽเดกเดฟเดฑเตเดฑเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเตเด‚ เดฎเต‹เดกเดฒเดฟเด‚เด—เต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเตเดฎเตเดณเตเดณ เดฏเต‚เดŸเตเดŸเดฟเดฒเดฟเดฑเตเดฑเดฟเด•เตพ gEDA-เดฏเดฟเตฝ เด‰เตพเดชเตเดชเต†เดŸเตเดจเตเดจเต. UNIX เดชเตเดฒเดพเดฑเตเดฑเตโ€Œเดซเต‹เดฎเตเด•เตพเด•เตเด•เดพเดฏเดฟ เดˆ เดชเดฐเดฟเดนเดพเดฐเด‚ เดตเดฟเด•เดธเดฟเดชเตเดชเดฟเดšเตเดšเต†เดŸเตเดคเตเดคเดฟเดŸเตเดŸเตเดฃเตเดŸเต, เดŽเดจเตเดจเดพเตฝ เด…เดคเดฟเดจเตเดฑเต† เดจเดฟเดฐเดตเดงเดฟ เด˜เดŸเด•เด™เตเด™เดณเตเด‚ เดตเดฟเตปเดกเต‹เดธเดฟเดจเต เด•เต€เดดเดฟเตฝ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเดจเตเดจเต. เด…เดตเดฐเต‹เดŸเตŠเดชเตเดชเด‚ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเตเดณเตเดณ เด’เดฐเต เด—เตˆเดกเต เด•เดฃเตเดŸเต†เดคเตเดคเดพเดจเดพเด•เตเด‚ เดชเตเดฐเต‹เดœเด•เตเดฑเตเดฑเต เดตเต†เดฌเตเดธเตˆเดฑเตเดฑเดฟเดฒเต† เดกเต‹เด•เตเดฏเตเดฎเต†เดจเตเดฑเต‡เดทเดจเดฟเตฝ.

เดธเตเดตเดคเดจเตเดคเตเดฐเดฎเดพเดฏเดฟ เดฒเดญเตเดฏเดฎเดพเดฏ เดŸเต‚เดณเตเด•เตพ เดธเตเดตเดคเดจเตเดคเตเดฐ เดธเตเดฅเดพเดชเดจเด™เตเด™เตพเด•เตเด•เตเด‚ เดธเตเดฑเตเดฑเดพเตผเดŸเตเดŸเดชเตเดชเตเด•เตพเด•เตเด•เตเด‚ เด•เต‚เดŸเตเดคเตฝ เด“เดชเตเดทเดจเตเด•เตพ เดจเตฝเด•เตเดจเตเดจเต. เด•เดพเดฒเด•เตเดฐเดฎเต‡เดฃ, EDA เดŸเต‚เตพ เดกเต†เดตเดฒเดชเตโ€Œเดฎเต†เดจเตเดฑเดฟเดจเตเด‚ เดšเดฟเดชเตเดชเต เดกเดฟเดธเตˆเดจเดฟเดจเตเดฎเตเดณเตเดณ OpenROAD-เดจเตเดฑเต† เดชเตเดคเดฟเดฏ เดธเดฎเต€เดชเดจเด™เตเด™เตพ เด’เดฐเต เดตเตเดฏเดตเดธเดพเดฏ เดจเดฟเดฒเดตเดพเดฐเดฎเดพเดฏเดฟ เดฎเดพเดฑเดพเตป เดธเดพเดงเตเดฏเดคเดฏเตเดฃเตเดŸเต.

เดžเด™เตเด™เดณเตเดŸเต† เด•เต‹เตผเดชเตเดชเดฑเต‡เดฑเตเดฑเต เดฌเตเดฒเต‹เด—เดฟเตฝ เดžเด™เตเด™เตพ เดŽเดจเตเดคเดพเดฃเต เดŽเดดเตเดคเตเดจเตเดจเดคเต:

เด…เดตเดฒเด‚เดฌเด‚: www.habr.com

เด’เดฐเต เด…เดญเดฟเดชเตเดฐเดพเดฏเด‚ เดšเต‡เตผเด•เตเด•เตเด•