เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

ะ’ เด†เดฆเตเดฏ เดญเดพเด—เด‚ Arduino เดชเดพเดจเตเดฑเตเด•เดณเดฟเตฝ เดจเดฟเดจเตเดจเต เดตเดณเตผเดจเตเดจ เดนเต‹เดฌเดฟ เด‡เดฒเด•เตเดŸเตเดฐเต‹เดฃเดฟเด•เตเดธเต เดŽเดžเตเดšเดฟเดจเต€เดฏเตผเดฎเดพเดฐเต‹เดŸเต เดŽเด™เตเด™เดจเต†, เดŽเดจเตเดคเตเด•เตŠเดฃเตเดŸเต เด…เดตเตผ เดกเดพเดฑเตเดฑเดทเต€เดฑเตเดฑเตเด•เดณเตเด‚ เดฎเตˆเด•เตเดฐเต‹เด•เตบเดŸเตเดฐเต‹เดณเดฑเตเด•เตพเด•เตเด•เตเดณเตเดณ เดฎเดฑเตเดฑเต เดกเต‹เด•เตเดฏเตเดฎเต†เดจเตเดฑเต‡เดทเดจเตเด•เดณเตเด‚ เดตเดพเดฏเดฟเด•เตเด•เดฃเด‚ เดŽเดจเตเดจเต เดชเดฑเดฏเดพเตป เดžเดพเตป เดถเตเดฐเดฎเดฟเดšเตเดšเต. เดตเดพเดšเด•เด‚ เดตเดฒเตเดคเดพเดฏเดฟ เดฎเดพเดฑเดฟ, เด…เดคเดฟเดจเดพเตฝ เด’เดฐเต เดชเตเดฐเดคเตเดฏเต‡เด• เดฒเต‡เด–เดจเดคเตเดคเดฟเตฝ เดชเตเดฐเดพเดฏเต‹เด—เดฟเด• เด‰เดฆเดพเดนเดฐเดฃเด™เตเด™เตพ เด•เดพเดฃเดฟเด•เตเด•เตเดฎเต†เดจเตเดจเต เดžเดพเตป เดตเดพเด—เตเดฆเดพเดจเด‚ เดšเต†เดฏเตเดคเต. เดถเดฐเดฟ, เด…เดตเตป เดธเตเดตเดฏเด‚ เดชเดพเตฝ เด•เต‚เตบ เดŽเดจเตเดจเต เดตเดฟเดณเดฟเดšเตเดšเต ...

STM32 (Blue Pill), STM8 เด•เตบเดŸเตเดฐเต‹เดณเดฑเตเด•เตพ เดŽเดจเตเดจเดฟเดตเดฏเดฟเดฒเต† เดŸเดพเดธเตโ€Œเด•เตเด•เตเด•เตพ, เดตเดณเดฐเต† เดฒเดณเดฟเดคเดตเตเด‚ เดŽเดจเตเดจเดพเตฝ เด†เดตเดถเตเดฏเดฎเตเดณเตเดณเดคเตเดฎเดพเดฏ เดชเดฒ เดชเตเดฐเตŠเดœเด•เตโ€Œเดฑเตเดฑเตเด•เตพเด•เตเด•เตเด‚ เดชเดฐเดฟเดนเดฐเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเต เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดŽเด™เตเด™เดจเต† เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เดพเดฎเต†เดจเตเดจเต เด‡เดจเตเดจเต เดžเดพเตป เด•เดพเดฃเดฟเดšเตเดšเตเดคเดฐเดพเด‚. เดŽเดฒเตเดฒเดพ เดกเต†เดฎเต‹ เดชเตเดฐเต‹เดœเด•เตเดฑเตเดฑเตเด•เดณเตเด‚ เดŽเดจเตเดฑเต† เดชเตเดฐเดฟเดฏเดชเตเดชเต†เดŸเตเดŸ LED- เด•เตพเด•เตเด•เดพเดฏเดฟ เดธเดฎเตผเดชเตเดชเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต, เดžเด™เตเด™เตพ เด…เดตเดฏเต† เดตเดฒเดฟเดฏ เด…เดณเดตเดฟเตฝ เดชเตเดฐเด•เดพเดถเดฟเดชเตเดชเดฟเด•เตเด•เตเด‚, เด‡เดคเดฟเดจเดพเดฏเดฟ เดžเด™เตเด™เตพ เดŽเดฒเตเดฒเดพเดคเตเดคเดฐเด‚ เดฐเดธเด•เดฐเดฎเดพเดฏ เดชเต†เดฐเดฟเดซเดฑเดฒเตเด•เดณเตเด‚ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เต‡เดฃเตเดŸเดฟเดตเดฐเตเด‚.

เดตเดพเดšเด•เด‚ เดตเต€เดฃเตเดŸเตเด‚ เดตเดณเดฐเต† เดตเดฒเตเดคเดพเดฏเดฟ เดฎเดพเดฑเดฟ, เด…เดคเดฟเดจเดพเตฝ เดธเต—เด•เดฐเตเดฏเดพเตผเดคเตเดฅเด‚ เดžเดพเตป เด‰เดณเตเดณเดŸเด•เตเด•เด‚ เด‰เดฃเตเดŸเดพเด•เตเด•เตเดจเตเดจเต:

STM32 เดฌเตเดฒเต‚ เดชเดฟเตฝ: DM16 เดกเตเดฐเตˆเดตเดฑเตเดณเตเดณ 634 LED-เด•เตพ
STM8: เด†เดฑเต PWM เดชเดฟเดจเตเดจเตเด•เตพ เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เตเดจเตเดจเต
STM8: เดฎเต‚เดจเตเดจเต เดชเดฟเดจเตเดจเตเด•เดณเดฟเตฝ 8 RGB LED-เด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

เดจเดฟเดฐเดพเด•เดฐเดฃเด‚: เดžเดพเตป เด’เดฐเต เดŽเดžเตเดšเดฟเดจเต€เดฏเตผ เด…เดฒเตเดฒ, เด‡เดฒเด•เตเดŸเตเดฐเต‹เดฃเดฟเด•เตเดธเดฟเตฝ เด†เดดเดคเตเดคเดฟเดฒเตเดณเตเดณ เด…เดฑเดฟเดตเตเดฃเตเดŸเต†เดจเตเดจเต เดžเดพเตป เดจเดŸเดฟเด•เตเด•เตเดจเตเดจเดฟเดฒเตเดฒ, เดฒเต‡เด–เดจเด‚ เดŽเดจเตเดจเต†เดชเตเดชเต‹เดฒเตเดณเตเดณ เด…เดฎเต‡เดšเตเดตเตผเดฎเดพเดฐเต† เด‰เดฆเตเดฆเต‡เดถเดฟเดšเตเดšเตเดณเตเดณเดคเดพเดฃเต. เดตเดพเดธเตเดคเดตเดคเตเดคเดฟเตฝ, เดฐเดฃเตเดŸเต เดตเตผเดทเด‚ เดฎเตเดฎเตเดชเต เดžเดพเตป เดŽเดจเตเดจเต† เดŸเดพเตผเด—เต†เดฑเตเดฑเต เดชเตเดฐเต‡เด•เตเดทเด•เดจเดพเดฏเดฟ เด•เดฃเด•เตเด•เดพเด•เตเด•เดฟ. เด…เดชเดฐเดฟเดšเดฟเดคเดฎเดพเดฏ เดšเดฟเดชเตเดชเดฟเดฒเต† เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เดพเตป เดญเดฏเดพเดจเด•เดฎเดฒเตเดฒเต†เดจเตเดจเต เด†เดฐเต†เด™เตเด•เดฟเดฒเตเด‚ เดŽเดจเตเดจเต‹เดŸเต เด…เดจเตเดจเต เดชเดฑเดžเตเดžเดฟเดฐเตเดจเตเดจเต†เด™เตเด•เดฟเตฝ, เด‡เดจเตเดฑเตผเดจเต†เดฑเตเดฑเดฟเตฝ เดšเดฟเดฒ เด•เต‹เดกเต เด•เดทเดฃเด™เตเด™เตพ เดคเดฟเดฐเดฏเดพเดจเตเด‚ เด•เดคเตเดฐเดฟเด•เดฏเตเด‚ เดชเดถ เดŸเต‡เดชเตเดชเตเด‚ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดŠเดจเตเดจเตเดตเดŸเดฟเด•เตพ เด•เดฃเตเดŸเตเดชเดฟเดŸเดฟเด•เตเด•เดพเดจเตเด‚ เดžเดพเตป เด•เต‚เดŸเตเดคเตฝ เดธเดฎเดฏเด‚ เดšเต†เดฒเดตเดดเดฟเด•เตเด•เดฟเดฒเตเดฒ.

เดˆ เดฒเต‡เด–เดจเดคเตเดคเดฟเดจเตเดฑเต† เดซเต‹เด•เตเด•เดธเต เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเดฒเดพเดฃเต, เดชเตเดฐเต‹เดœเด•เตเดฑเตเดฑเตเด•เดณเดฒเตเดฒ, เด…เดคเดฟเดจเดพเตฝ เด•เต‹เดกเต เดตเดณเดฐเต† เดตเตƒเดคเตเดคเดฟเดฏเตเดณเตเดณเดคเตเด‚ เดชเดฒเดชเตเดชเต‹เดดเตเด‚ เด‡เดŸเตเด™เตเด™เดฟเดฏเดคเตเด‚ เด†เดฏเดฟเดฐเดฟเด•เตเด•เดฟเดฒเตเดฒ. เดชเตเดคเดฟเดฏ เดšเดฟเดชเตเดชเตเดฎเดพเดฏเตเดณเตเดณ เด†เดฆเตเดฏ เดชเดฐเดฟเดšเดฏเดคเตเดคเดฟเดจเต เด…เดจเตเดฏเต‹เดœเตเดฏเดฎเดพเดฃเต†เด™เตเด•เดฟเดฒเตเด‚ เดชเตเดฐเต‹เดœเด•เตเดŸเตเด•เตพ เดคเดจเตเดจเต† เดตเดณเดฐเต† เดฒเดณเดฟเดคเดฎเดพเดฃเต.

เดนเต‹เดฌเดฟเดฏเดฟเตฝ เดฎเตเดดเตเด•เตเดจเตเดจเดคเดฟเดจเตเดฑเต† เดธเดฎเดพเดจเดฎเดพเดฏ เด˜เดŸเตเดŸเดคเตเดคเดฟเตฝ เดŽเดจเตเดฑเต† เดฒเต‡เด–เดจเด‚ เด†เดฐเต†เดฏเต†เด™เตเด•เดฟเดฒเตเด‚ เดธเดนเดพเดฏเดฟเด•เตเด•เตเดฎเต†เดจเตเดจเต เดžเดพเตป เดชเตเดฐเดคเต€เด•เตเดทเดฟเด•เตเด•เตเดจเตเดจเต.

STM32

DM16, SPI เดŽเดจเตเดจเดฟเดตเดฏเตเดณเตเดณ 634 LED-เด•เตพ

เดฌเตเดฒเต‚ เดชเดฟเตฝ (STM32F103C8T6), DM634 LED เดกเตเดฐเตˆเดตเตผ เดŽเดจเตเดจเดฟเดต เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจ เด’เดฐเต เดšเต†เดฑเดฟเดฏ เดชเตเดฐเต‹เดœเด•เตเดฑเตเดฑเต. เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต, เดžเด™เตเด™เตพ เดกเตเดฐเตˆเดตเตผ, STM IO เดชเต‹เตผเดŸเตเดŸเตเด•เตพ เดŽเดจเตเดจเดฟเดต เด•เดฃเตเดŸเต†เดคเตเดคเตเด•เดฏเตเด‚ SPI เด•เต‹เตบเดซเดฟเด—เตผ เดšเต†เดฏเตเดฏเตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเด‚.

DM634

16 16-เดฌเดฟเดฑเตเดฑเต PWM เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเตเด•เดณเตเดณเตเดณ เดคเดพเดฏเตโ€Œเดตเดพเดจเต€เดธเต เดšเดฟเดชเตเดชเต, เดšเด™เตเด™เดฒเด•เดณเดฟเตฝ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เดพเตป เด•เดดเดฟเดฏเตเด‚. เดฒเต‹-เดŽเตปเดกเต 12-เดฌเดฟเดฑเตเดฑเต เดฎเต‹เดกเตฝ เด’เดฐเต เด†เดญเตเดฏเดจเตเดคเดฐ เดชเดฆเตเดงเดคเดฟเดฏเดฟเตฝ เดจเดฟเดจเตเดจเต เด…เดฑเดฟเดฏเดชเตเดชเต†เดŸเตเดจเตเดจเต เดฒเตˆเดฑเตเดฑเตเดชเดพเด•เตเด•เต. เด’เดฐเต เดธเดฎเดฏเดคเตเดคเต, DM63x-เดจเตเด‚ เด…เดฑเดฟเดฏเดชเตเดชเต†เดŸเตเดจเตเดจ TLC5940-เดจเตเด‚ เด‡เดŸเดฏเดฟเตฝ เดคเดฟเดฐเดžเตเดžเต†เดŸเตเด•เตเด•เตเดฎเตเดชเต‹เตพ, เดžเดพเตป เดชเดฒ เด•เดพเดฐเดฃเด™เตเด™เดณเดพเตฝ DM เดคเดฟเดฐเดžเตเดžเต†เดŸเตเดคเตเดคเต: 1) Aliexpress-เดฒเต† TLC เดคเต€เตผเดšเตเดšเดฏเดพเดฏเตเด‚ เดตเตเดฏเดพเดœเดฎเดพเดฃเต, เดŽเดจเตเดจเดพเตฝ เด‡เดคเต เด…เด™เตเด™เดจเต†เดฏเดฒเตเดฒ; 2) DM-เดจเต เดธเตเดตเดจเตเดคเด‚ เดซเตเดฐเต€เด•เตเดตเตปเดธเดฟ เดœเดจเดฑเต‡เดฑเตเดฑเดฑเตเดณเตเดณ เด’เดฐเต เดธเตเดตเดฏเด‚เดญเดฐเดฃ PWM เด‰เดฃเตเดŸเต; 3) เด…เดฒเดฟเดฏเดฟเตฝ เดจเดฟเดจเตเดจเต เด’เดฐเต เดชเดพเดดเตเดธเดฒเดฟเดจเดพเดฏเดฟ เด•เดพเดคเตเดคเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเตเดชเด•เดฐเด‚ เด‡เดคเต เดฎเต‹เดธเตเด•เต‹เดฏเดฟเตฝ เดตเดฟเดฒเด•เตเดฑเดžเตเดž เดฐเต€เดคเดฟเดฏเดฟเตฝ เดตเดพเด™เตเด™เดพเด‚. เด•เต‚เดŸเดพเดคเต†, เดคเต€เตผเดšเตเดšเดฏเดพเดฏเตเด‚, เด’เดฐเต เดฑเต†เดกเดฟเดฎเต†เดฏเตเดกเต เดฒเตˆเดฌเตเดฐเดฑเดฟ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเตเดชเด•เดฐเด‚ เดšเดฟเดชเตเดชเต เดธเตเดตเดฏเด‚ เดŽเด™เตเด™เดจเต† เดจเดฟเดฏเดจเตเดคเตเดฐเดฟเด•เตเด•เดพเดฎเต†เดจเตเดจเต เดชเด เดฟเด•เตเด•เตเดจเตเดจเดคเต เดฐเดธเด•เดฐเดฎเดพเดฏเดฟเดฐเตเดจเตเดจเต. เดšเดฟเดชเตเดชเตเด•เตพ เด‡เดชเตเดชเต‹เตพ เดชเตเดฐเดงเดพเดจเดฎเดพเดฏเตเด‚ SSOP24 เดชเดพเด•เตเด•เต‡เดœเดฟเตฝ เด…เดตเดคเดฐเดฟเดชเตเดชเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต; เด…เดต เด’เดฐเต เด…เดกเดพเดชเตเดฑเตเดฑเดฑเดฟเดฒเต‡เด•เตเด•เต เดธเต‹เตพเดกเตผ เดšเต†เดฏเตเดฏเดพเตป เดŽเดณเตเดชเตเดชเดฎเดพเดฃเต.

เดจเดฟเตผเดฎเตเดฎเดพเดคเดพเดตเต เดคเดพเดฏเตโ€Œเดตเดพเดจเต€เดธเต เด†เดฏเดคเดฟเดจเดพเตฝ, เดกเดพเดฑเตเดฑ เดทเต€เดฑเตเดฑเต เดšเดฟเดชเตเดชเต เดšเตˆเดจเต€เดธเต เด‡เด‚เด—เตเดฒเต€เดทเดฟเดฒเดพเดฃเต เดŽเดดเตเดคเดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเดคเต, เด…เดคเดฟเดจเตผเดคเตเดฅเด‚ เด…เดคเต เดฐเดธเด•เดฐเดฎเดพเดฏเดฟเดฐเดฟเด•เตเด•เตเด‚ เดŽเดจเตเดจเดพเดฃเต. เด†เดฆเตเดฏเด‚ เดจเดฎเตเดฎเตพ เดชเดฟเตปเด”เดŸเตเดŸเต เดจเต‹เด•เตเด•เตเดจเตเดจเต (เดชเดฟเตป เด•เดฃเด•เตเดทเตป) เดเดคเต เด•เดพเดฒเดพเดฃเต เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเต†เดจเตเดจเต เดฎเดจเดธเดฟเดฒเดพเด•เตเด•เดพเตป, เดชเดฟเดจเตเดจเตเด•เดณเตเดŸเต† เดตเดฟเดตเดฐเดฃเด‚ (เดชเดฟเตป เดตเดฟเดตเดฐเดฃเด‚). 16 เดชเดฟเดจเตเดจเตเด•เตพ:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
DC เดธเดฟเด™เตเด•เต เด‰เดฑเดตเดฟเดŸเด™เตเด™เตพ (เด“เดชเตเดชเตบ เดกเตเดฐเต†เดฏเดฟเตป)

เดฎเตเด™เตเด™เตเด• / เด“เดชเตเดชเตบ-เดกเตเดฐเต†เดฏเดฟเตป เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต - เดšเต‹เตผเดšเตเดš; เด’เดดเตเด•เตเดจเตเดจ เดตเตˆเดฆเตเดฏเตเดคเดงเดพเดฐเดฏเตเดŸเต† เด‰เดฑเดตเดฟเดŸเด‚; เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดธเดœเต€เดต เด…เดตเดธเตเดฅเดฏเดฟเตฝ เดจเดฟเดฒเดคเตเดคเต เดฌเดจเตเดงเดฟเดชเตเดชเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต - LED- เด•เตพ เดกเตเดฐเตˆเดตเดฑเตเดฎเดพเดฏเดฟ เด•เดพเดฅเต‹เดกเตเด•เตพ เดตเดดเดฟ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต. เดตเตˆเดฆเตเดฏเตเดคเดชเดฐเดฎเดพเดฏเดฟ, เด‡เดคเต เดคเต€เตผเดšเตเดšเดฏเดพเดฏเตเด‚ เด’เดฐเต "เดคเตเดฑเดจเตเดจ เดšเต‹เตผเดšเตเดš" เด…เดฒเตเดฒ (เดคเตเดฑเดจเตเดจ เดšเต‹เตผเดšเตเดš), เดŽเดจเตเดจเดพเตฝ เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เดณเดฟเตฝ เดกเตเดฐเต†เดฏเดฟเตป เดฎเต‹เดกเดฟเดฒเตเดณเตเดณ เดชเดฟเดจเตเดจเตเด•เตพเด•เตเด•เตเดณเตเดณ เดˆ เดชเดฆเดตเดฟ เดชเดฒเดชเตเดชเต‹เดดเตเด‚ เด•เดพเดฃเดชเตเดชเต†เดŸเตเดจเตเดจเต.

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เด”เดŸเตเดŸเตโ€ŒเดชเตเดŸเตเดŸเต เด•เดฑเดจเตเดฑเต เดฎเต‚เดฒเตเดฏเด‚ เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เดพเตป REXT-เดจเตเด‚ GND-เดจเตเด‚ เด‡เดŸเดฏเดฟเดฒเตเดณเตเดณ เดฌเดพเดนเตเดฏ เดฑเต†เดธเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ

เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเตเด•เดณเตเดŸเต† เด†เดจเตเดคเดฐเดฟเด• เดชเตเดฐเดคเดฟเดฐเต‹เดงเด‚ เดจเดฟเดฏเดจเตเดคเตเดฐเดฟเด•เตเด•เตเดจเตเดจ REXT เดชเดฟเตป, เด—เตเดฐเต—เดฃเตเดŸเต เดŽเดจเตเดจเดฟเดตเดฏเตเด•เตเด•เดฟเดŸเดฏเดฟเตฝ เด’เดฐเต เดฑเดซเดฑเตปเดธเต เดฑเต†เดธเดฟเดธเตเดฑเตเดฑเตผ เด‡เตปเดธเตเดฑเตเดฑเดพเตพ เดšเต†เดฏเตเดคเดฟเดŸเตเดŸเตเดฃเตเดŸเต, เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเดจเตเดฑเต† เดชเต‡เดœเต 9-เดฒเต† เด—เตเดฐเดพเดซเต เด•เดพเดฃเตเด•. DM634-เตฝ, เดˆ เดชเตเดฐเดคเดฟเดฐเต‹เดงเด‚ เดธเต‹เดซเตเดฑเตเดฑเตโ€Œเดตเต†เดฏเตผ เดตเดดเดฟเดฏเตเด‚ เดจเดฟเดฏเดจเตเดคเตเดฐเดฟเด•เตเด•เดพเด‚, เดฎเตŠเดคเตเดคเดคเตเดคเดฟเดฒเตเดณเตเดณ เดคเต†เดณเดฟเดšเตเดšเด‚ (เด†เด—เต‹เดณ เดคเต†เดณเดฟเดšเตเดšเด‚); เดˆ เดฒเต‡เด–เดจเดคเตเดคเดฟเตฝ เดžเดพเตป เดตเดฟเดถเดฆเดพเด‚เดถเด™เตเด™เดณเดฟเดฒเต‡เด•เตเด•เต เดชเต‹เด•เตเดจเตเดจเดฟเดฒเตเดฒ, เดžเดพเตป เด‡เดตเดฟเดŸเต† เด’เดฐเต 2.2 - 3 kOhm เดฑเต†เดธเดฟเดธเตเดฑเตเดฑเตผ เด‡เดŸเตเด‚.

เดšเดฟเดชเตเดชเต เดŽเด™เตเด™เดจเต† เดจเดฟเดฏเดจเตเดคเตเดฐเดฟเด•เตเด•เดพเดฎเต†เดจเตเดจเต เดฎเดจเดธเดฟเดฒเดพเด•เตเด•เดพเตป, เด‰เดชเด•เดฐเดฃ เด‡เดจเตเดฑเตผเดซเต‡เดธเดฟเดจเตเดฑเต† เดตเดฟเดตเดฐเดฃเด‚ เดจเต‹เด•เตเด•เดพเด‚:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

เด…เดคเต†, เด‡เดคเดพ, เดšเตˆเดจเต€เดธเต เด‡เด‚เด—เตเดฒเต€เดทเต เด…เดคเดฟเดจเตเดฑเต† เดŽเดฒเตเดฒเดพ เดฎเดนเดคเตเดตเดคเตเดคเดฟเดฒเตเด‚. เด‡เดคเต เดตเดฟเดตเตผเดคเตเดคเดจเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเต เดชเตเดฐเดถเตโ€Œเดจเด•เดฐเดฎเดพเดฃเต, เดจเดฟเด™เตเด™เตพเด•เตเด•เต เดตเต‡เดฃเดฎเต†เด™เตเด•เดฟเตฝ เด‡เดคเต เดฎเดจเดธเดฟเดฒเดพเด•เตเด•เดพเด‚, เดชเด•เตเดทเต‡ เดฎเดฑเตเดฑเตŠเดฐเต เดตเดดเดฟเดฏเตเดฃเตเดŸเต - เดชเตเดฐเดตเตผเดคเตเดคเดจเดชเดฐเดฎเดพเดฏเดฟ เดธเดฎเดพเดจเดฎเดพเดฏ TLC5940-เดฒเต‡เด•เตเด•เตเดณเตเดณ เด•เดฃเด•เตเดทเตป เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเตฝ เดŽเด™เตเด™เดจเต† เดตเดฟเดตเดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเตเดตเต†เดจเตเดจเต เดจเต‹เด•เตเด•เตเด•:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
... เด‰เดชเด•เดฐเดฃเดคเตเดคเดฟเดฒเต‡เด•เตเด•เต เดกเดพเดฑเตเดฑ เดจเตฝเด•เตเดจเตเดจเดคเดฟเดจเต เดฎเต‚เดจเตเดจเต เดชเดฟเดจเตเดจเตเด•เตพ เดฎเดพเดคเตเดฐเดฎเต‡ เด†เดตเดถเตเดฏเดฎเตเดณเตเดณเต‚. SCLK เดธเดฟเด—เตเดจเดฒเดฟเดจเตเดฑเต† เด‰เดฏเดฐเตเดจเตเดจ เดŽเดกเตเดœเต เดกเดพเดฑเตเดฑเดฏเต† SIN เดชเดฟเดจเตเดจเดฟเตฝ เดจเดฟเดจเตเดจเต เด†เดจเตเดคเดฐเดฟเด• เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต‡เด•เตเด•เต เดฎเดพเดฑเตเดฑเตเดจเตเดจเต. เดŽเดฒเตเดฒเดพ เดกเดพเดฑเตเดฑเดฏเตเด‚ เดฒเต‹เดกเต เดšเต†เดฏเตเดค เดถเต‡เดทเด‚, เด’เดฐเต เดšเต†เดฑเดฟเดฏ เด‰เดฏเตผเดจเตเดจ XLAT เดธเดฟเด—เตเดจเตฝ เดคเตเดŸเตผเดšเตเดšเดฏเดพเดฏเดฟ เด•เตˆเดฎเดพเดฑเตเดฑเด‚ เดšเต†เดฏเตเดฏเดชเตเดชเต†เดŸเตเดจเตเดจ เดกเดพเดฑเตเดฑเดฏเต† เด†เดจเตเดคเดฐเดฟเด• เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เดณเดฟเดฒเต‡เด•เตเด•เต เดšเต‡เตผเด•เตเด•เตเดจเตเดจเต. XLAT เดธเดฟเด—เตเดจเตฝ เดฒเต†เดตเตฝ เดŸเตเดฐเดฟเด—เตผ เดšเต†เดฏเตเดฏเตเดจเตเดจ เด—เต‡เดฑเตเดฑเตเด•เดณเดพเดฃเต เด†เดจเตเดคเดฐเดฟเด• เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ. เดŽเดฒเตเดฒเดพ เดกเดพเดฑเตเดฑเดฏเตเด‚ เดเดฑเตเดฑเดตเตเด‚ เดชเตเดฐเดงเดพเดจเดชเตเดชเต†เดŸเตเดŸ เดฌเดฟเดฑเตเดฑเต เด†เดฆเตเดฏเด‚ เด•เตˆเดฎเดพเดฑเตเดจเตเดจเต.

เดคเดŸเดพเด•เด‚ - เดฒเดพเดšเตเดšเต / เดฒเดพเดšเตเดšเต / เดฒเต‹เด•เตเด•เต.
เด‰เดฏเดฐเตเดจเตเดจ เด…เดฑเตเดฑเด‚ - เดชเตพเดธเดฟเดจเตเดฑเต† เดฎเตเตปเดญเดพเด—เด‚
เด†เดฆเตเดฏเด‚ เดŽเด‚.เดŽเดธเต.เดฌเดฟ - เดเดฑเตเดฑเดตเตเด‚ เดชเตเดฐเดงเดพเดจเดชเตเดชเต†เดŸเตเดŸ (เด‡เดŸเดคเตเดคเต‹เดŸเตเดŸเตเดณเตเดณ) เดฌเดฟเดฑเตเดฑเต เดซเต‹เตผเดตเต‡เดกเต.
เด•เตเดฒเต‹เด•เตเด•เต เดกเดพเดฑเตเดฑเดฏเดฟเดฒเต‡เด•เตเด•เต - เดกเดพเดฑเตเดฑ เดคเตเดŸเตผเดšเตเดšเดฏเดพเดฏเดฟ เด•เตˆเดฎเดพเดฑเตเด• (เดฌเดฟเดฑเตเดฑเต เดฌเดฟเดฑเตเดฑเต).

เดตเดšเดจเด‚ เดฒเดพเดšเตเดšเต เดšเดฟเดชเตโ€Œเดธเดฟเดจเดพเดฏเตเดณเตเดณ เดกเต‹เด•เตเดฏเตเดฎเต†เดจเตเดฑเต‡เดทเดจเดฟเตฝ เดชเดฒเดชเตเดชเต‹เดดเตเด‚ เด•เดฃเตเดŸเต†เดคเตเดคเตเด•เดฏเตเด‚ เดตเดฟเดตเดฟเดง เดฐเต€เดคเดฟเด•เดณเดฟเตฝ เดตเดฟเดตเตผเดคเตเดคเดจเด‚ เดšเต†เดฏเตเดฏเตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต, เด…เดคเดฟเดจเดพเตฝ เดฎเดจเดธเตเดธเดฟเดฒเดพเด•เตเด•เดพเตป เดžเดพเตป เดŽเดจเตเดจเต†เดคเตเดคเดจเตเดจเต† เด…เดจเตเดตเดฆเดฟเด•เตเด•เตเด‚

เด’เดฐเต เดšเต†เดฑเดฟเดฏ เดตเดฟเดฆเตเดฏเดพเดญเตเดฏเดพเดธ เดชเดฐเดฟเดชเดพเดŸเดฟLED เดกเตเดฐเตˆเดตเตผ เดชเตเดฐเดงเดพเดจเดฎเดพเดฏเตเด‚ เด’เดฐเต เดทเดฟเดซเตเดฑเตเดฑเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดพเดฃเต. "เดทเดฟเดซเตเดฑเตเดฑเต" (เดทเดฟเดซเตเดฑเตเดฑเต) เดชเต‡เดฐเดฟเตฝ - เด‰เดชเด•เดฐเดฃเดคเตเดคเดฟเดจเตเดณเตเดณเดฟเดฒเต† เดกเดพเดฑเตเดฑเดฏเตเดŸเต† เดฌเดฟเดฑเตเดฑเตโ€Œเดตเตˆเดธเต เดšเดฒเดจเด‚: เด…เด•เดคเตเดคเต เด•เดฏเดฑเตเดฑเดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจ เด“เดฐเต‹ เดชเตเดคเดฟเดฏ เดฌเดฟเดฑเตเดฑเตเด‚ เดฎเตเดดเตเดตเตป เดถเตƒเด‚เด–เดฒเดฏเต†เดฏเตเด‚ เด…เดคเดฟเดจเตเดฑเต† เดฎเตเดจเตเดจเดฟเดฒเต‡เด•เตเด•เต เดคเดณเตเดณเตเดจเตเดจเต. เดทเดฟเดซเตเดฑเตเดฑเต เดธเดฎเดฏเดคเตเดคเต LED- เด•เตพ เดฎเดฟเดจเตเดจเดฟเดฎเดฑเดฏเตเดจเตเดจเดคเต เด†เดฐเตเด‚ เดจเดฟเดฐเต€เด•เตเดทเดฟเด•เตเด•เดพเตป เด†เด—เตเดฐเดนเดฟเด•เตเด•เดพเดคเตเดคเดคเดฟเดจเดพเตฝ, เดตเตผเด•เตเด•เดฟเด‚เด—เต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เดณเดฟเตฝ เดจเดฟเดจเตเดจเต เด’เดฐเต เดกเดพเด‚เดชเตเดชเตผ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดตเต‡เตผเดคเดฟเดฐเดฟเดšเตเดš เดฌเดซเตผ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เดณเดฟเตฝ เดชเตเดฐเด•เตเดฐเดฟเดฏ เดจเดŸเด•เตเด•เตเดจเตเดจเต (เดฒเดพเดšเตเดšเต) เดฌเดฟเดฑเตเดฑเตเด•เตพ เด†เดตเดถเตเดฏเดฎเตเดณเตเดณ เด•เตเดฐเดฎเดคเตเดคเดฟเตฝ เด•เตเดฐเดฎเต€เด•เดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจ เด’เดฐเตเดคเดฐเด‚ เด•เดพเดคเตเดคเดฟเดฐเดฟเดชเตเดชเต เดฎเตเดฑเดฟเดฏเดพเดฃเต. เดŽเดฒเตเดฒเดพเด‚ เดคเดฏเตเดฏเดพเดฑเดพเด•เตเดฎเตเดชเต‹เตพ, เดทเดŸเตเดŸเตผ เดคเตเดฑเด•เตเด•เตเด•เดฏเตเด‚ เดฌเดฟเดฑเตเดฑเตเด•เตพ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต, เดฎเตเดฎเตเดชเดคเตเดคเต† เดฌเดพเดšเตเดšเต เดฎเดพเดฑเตเดฑเดฟเดธเตเดฅเดพเดชเดฟเด•เตเด•เตเดจเตเดจเต. เดตเดพเด•เตเด•เต เดฒเดพเดšเตเดšเต เดฎเตˆเด•เตเดฐเต‹ เดธเตผเด•เตเดฏเต‚เดŸเตเดŸเตเด•เตพเด•เตเด•เดพเดฏเตเดณเตเดณ เดกเต‹เด•เตเดฏเตเดฎเต†เดจเตเดฑเต‡เดทเดจเดฟเตฝ, เดเดคเต เด•เต‹เดฎเตเดชเดฟเดจเต‡เดทเดจเตเด•เดณเดฟเตฝ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเดพเดฒเตเด‚ เด…เดคเตเดคเดฐเดฎเตŠเดฐเต เดกเดพเด‚เดชเตผ เดŽเดฒเตเดฒเดพเดฏเตเดชเตเดชเต‹เดดเตเด‚ เดธเต‚เดšเดฟเดชเตเดชเดฟเด•เตเด•เตเดจเตเดจเต.

เด…เดคเดฟเดจเดพเตฝ, DM634-เดฒเต‡เด•เตเด•เตเดณเตเดณ เดกเดพเดฑเตเดฑเดพ เด•เตˆเดฎเดพเดฑเตเดฑเด‚ เด‡เดคเตเดชเต‹เดฒเต†เดฏเดพเดฃเต เดจเดŸเดคเตเดคเตเดจเตเดจเดคเต: DAI เด‡เตปเดชเตเดŸเตเดŸเต เดตเดฟเดฆเต‚เดฐ เดŽเตฝเด‡เดกเดฟเดฏเตเดŸเต† เดเดฑเตเดฑเดตเตเด‚ เดชเตเดฐเดงเดพเดจเดชเตเดชเต†เดŸเตเดŸ เดฌเดฟเดฑเตเดฑเดฟเดจเตเดฑเต† เดฎเต‚เดฒเตเดฏเดคเตเดคเดฟเดฒเต‡เด•เตเด•เต เดธเดœเตเดœเดฎเดพเด•เตเด•เตเด•, DCK เดฎเตเด•เดณเดฟเดฒเต‡เด•เตเด•เตเด‚ เดคเดพเดดเต‡เด•เตเด•เตเด‚ เดตเดฒเดฟเด•เตเด•เตเด•; DAI เด‡เตปเดชเตเดŸเตเดŸเต เด…เดŸเตเดคเตเดค เดฌเดฟเดฑเตเดฑเดฟเดจเตเดฑเต† เดฎเต‚เดฒเตเดฏเดคเตเดคเดฟเดฒเต‡เด•เตเด•เต เดธเดœเตเดœเดฎเดพเด•เตเด•เตเด•, DCK เดตเดฒเดฟเด•เตเด•เตเด•; เดŽเดฒเตเดฒเดพ เดฌเดฟเดฑเตเดฑเตเด•เดณเตเด‚ เด•เตˆเดฎเดพเดฑเตเดจเตเดจเดคเต เดตเดฐเต† (เด•เตเดฒเต‹เด•เตเด•เต เดšเต†เดฏเตเดคเต), เด…เดคเดฟเดจเตเดถเต‡เดทเด‚ เดžเด™เตเด™เตพ LAT เดตเดฒเดฟเด•เตเด•เตเดจเตเดจเต. เด‡เดคเต เดธเตเดตเดฎเต‡เดงเดฏเดพ เดšเต†เดฏเตเดฏเดพเตป เด•เดดเดฟเดฏเตเด‚ (เดฌเดฟเดฑเตเดฑเต-เดฌเดพเด‚เด—เต), เดŽเดจเตเดจเดพเตฝ เด‡เดคเดฟเดจเดพเดฏเดฟ เดชเตเดฐเดคเตเดฏเต‡เด•เด‚ เดฐเต‚เดชเด•เตฝเดชเตเดชเดจ เดšเต†เดฏเตเดค เด’เดฐเต SPI เด‡เดจเตเดฑเตผเดซเต‡เดธเต เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจเดคเดพเดฃเต เดจเดฒเตเดฒเดคเต, เด•เดพเดฐเดฃเด‚ เด‡เดคเต เดžเด™เตเด™เดณเตเดŸเต† STM32 เตฝ เดฐเดฃเตเดŸเต เดชเด•เตผเดชเตเดชเตเด•เดณเดพเดฏเดฟ เด…เดตเดคเดฐเดฟเดชเตเดชเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต.

เดจเต€เดฒ เด—เตเดณเดฟเด• STM32F103

เด†เดฎเตเด–เด‚: STM32 เด•เตบเดŸเตเดฐเต‹เดณเดฑเตเด•เตพ Atmega328 เดจเต‡เด•เตเด•เดพเตพ เดตเดณเดฐเต† เดธเด™เตเด•เต€เตผเดฃเตเดฃเดฎเดพเดฃเต, เด…เดต เดญเดฏเดชเตเดชเต†เดŸเตเดคเตเดคเตเดจเตเดจเดคเดพเดฏเดฟ เดคเต‹เดจเตเดจเตเดจเตเดจเดคเดฟเดจเต‡เด•เตเด•เดพเตพ. เดฎเดพเดคเตเดฐเดฎเดฒเตเดฒ, เดŠเตผเดœเตเดœ เดธเด‚เดฐเด•เตเดทเดฃเดคเตเดคเดฟเดจเตเดฑเต† เด•เดพเดฐเดฃเด™เตเด™เดณเดพเตฝ, เดฎเดฟเด•เตเด•เดตเดพเดฑเตเด‚ เดŽเดฒเตเดฒเดพ เดชเต†เดฐเดฟเดซเดฑเดฒเตเด•เดณเตเด‚ เดคเตเดŸเด•เตเด•เดคเตเดคเดฟเตฝ เดคเดจเตเดจเต† เด“เดซเดพเด•เตเด•เดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต, เด•เต‚เดŸเดพเดคเต† เด•เตเดฒเต‹เด•เตเด•เต เดซเตเดฐเต€เด•เตเดตเตปเดธเดฟ เด†เดจเตเดคเดฐเดฟเด• เด‰เดฑเดตเดฟเดŸเดคเตเดคเดฟเตฝ เดจเดฟเดจเตเดจเต 8 MHz เด†เดฃเต. เดญเดพเด—เตเดฏเดตเดถเดพเตฝ, STM เดชเตเดฐเต‹เด—เตเดฐเดพเดฎเตผเดฎเดพเตผ เดšเดฟเดชเตเดชเดฟเดจเต† "เด•เดฃเด•เตเด•เตเด•เต‚เดŸเตเดŸเดฟเดฏ" 72 MHz-เดฒเต‡เด•เตเด•เต เด•เตŠเดฃเตเดŸเตเดตเดฐเตเดจเตเดจ เด•เต‹เดกเต เดŽเดดเตเดคเดฟ, เดŽเดจเดฟเด•เตเด•เดฑเดฟเดฏเดพเดตเตเดจเตเดจ เดŽเดฒเตเดฒเดพ IDE-เด•เดณเตเดŸเต†เดฏเตเด‚ เดฐเดšเดฏเดฟเดคเดพเด•เตเด•เตพ เด‡เดคเต เดธเดฎเดพเดฐเด‚เดญ เดชเตเดฐเด•เตเดฐเดฟเดฏเดฏเดฟเตฝ เด‰เตพเดชเตเดชเต†เดŸเตเดคเตเดคเดฟเดฏเดฟเดŸเตเดŸเตเดฃเตเดŸเต, เด…เดคเดฟเดจเดพเตฝ เดžเด™เตเด™เตพ เด•เตเดฒเต‹เด•เตเด•เต เดšเต†เดฏเตเดฏเต‡เดฃเตเดŸเดคเดฟเดฒเตเดฒ (เดชเด•เตเดทเต‡ เดจเดฟเด™เตเด™เตพเด•เตเด•เต เดถเดฐเดฟเด•เตเด•เตเด‚ เดตเต‡เดฃเดฎเต†เด™เตเด•เดฟเตฝ เดจเดฟเด™เตเด™เตพเด•เตเด•เต เด•เดดเดฟเดฏเตเด‚). เดŽเดจเตเดจเดพเตฝ เดจเดฟเด™เตเด™เตพ เดชเต†เดฐเดฟเดซเดฑเดฒเตเด•เตพ เด“เดฃเดพเด•เตเด•เต‡เดฃเตเดŸเดฟเดตเดฐเตเด‚.

เดกเต‹เด•เตเดฏเตเดฎเต†เดจเตเดฑเต‡เดทเตป: เดฌเตเดฒเต‚ เดชเดฟเตฝ เดœเดจเดชเตเดฐเดฟเดฏเดฎเดพเดฏ STM32F103C8T6 เดšเดฟเดชเตเดชเต เด•เตŠเดฃเตเดŸเต เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต, เด‡เดคเดฟเดจเต เดฐเดฃเตเดŸเต เด‰เดชเดฏเต‹เด—เดชเตเดฐเดฆเดฎเดพเดฏ เดกเต‹เด•เตเดฏเตเดฎเต†เดจเตเดฑเตเด•เตพ เด‰เดฃเตเดŸเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเตฝ เดžเด™เตเด™เตพเด•เตเด•เต เดคเดพเตฝเดชเตเดชเดฐเตเดฏเดฎเตเดฃเตเดŸเดพเด•เดพเด‚:

  • เดชเดฟเตปเด”เดŸเตเดŸเตเด•เตพ - เดšเดฟเดชเตเดชเต เดชเดฟเตปเด”เดŸเตเดŸเตเด•เตพ - เดฌเต‹เตผเดกเตเด•เตพ เดธเตเดตเดฏเด‚ เดจเดฟเตผเดฎเตเดฎเดฟเด•เตเด•เดพเตป เดžเด™เตเด™เตพ เดคเต€เดฐเตเดฎเดพเดจเดฟเดšเตเดšเดพเตฝ;
  • เดฎเต†เดฎเตเดฎเดฑเดฟ เดฎเดพเดชเตเดชเต - เด’เดฐเต เดชเตเดฐเดคเตเดฏเต‡เด• เดšเดฟเดชเตเดชเดฟเดจเตเดณเตเดณ เดฎเต†เดฎเตเดฎเดฑเดฟ เดฎเดพเดชเตเดชเต. เดฑเดซเดฑเตปเดธเต เดฎเดพเดจเตเดตเดฒเดฟเตฝ เดฎเตเดดเตเดตเตป เดฒเตˆเดจเดฟเดจเตเด‚ เด’เดฐเต เดฎเดพเดชเตเดชเต เด‰เดฃเตเดŸเต, เด…เดคเต เดจเดฎเตเดฎเตเดŸเต‡เดคเต เด‡เดฒเตเดฒเดพเดคเตเดค เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดชเดฐเดพเดฎเตผเดถเดฟเด•เตเด•เตเดจเตเดจเต.
  • เดชเดฟเตป เดจเดฟเตผเดตเตเดตเดšเดจ เดชเดŸเตเดŸเดฟเด• - เดชเดฟเดจเตเดจเตเด•เดณเตเดŸเต† เดชเตเดฐเดงเดพเดจเดตเตเด‚ เด‡เดคเดฐเดตเตเดฎเดพเดฏ เดชเตเดฐเดตเตผเดคเตเดคเดจเด™เตเด™เตพ เดชเดŸเตเดŸเดฟเด•เดชเตเดชเต†เดŸเตเดคเตเดคเตเดจเตเดจเต; "เดจเต€เดฒ เด—เตเดณเดฟเด•" เดŽเดจเตเดจเดคเดฟเดจเดพเดฏเดฟ เดจเดฟเด™เตเด™เตพเด•เตเด•เต เดชเดฟเดจเตเดจเตเด•เดณเตเดŸเต† เดชเดŸเตเดŸเดฟเด•เดฏเตเด‚ เด…เดตเดฏเตเดŸเต† เดชเตเดฐเดตเตผเดคเตเดคเดจเด™เตเด™เดณเตเด‚ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เด‡เดจเตเดฑเตผเดจเต†เดฑเตเดฑเดฟเตฝ เด•เต‚เดŸเตเดคเตฝ เดธเต—เด•เดฐเตเดฏเดชเตเดฐเดฆเดฎเดพเดฏ เดšเดฟเดคเตเดฐเด™เตเด™เตพ เด•เดฃเตเดŸเต†เดคเตเดคเดพเตป เด•เดดเดฟเดฏเตเด‚. เด…เดคเดฟเดจเดพเตฝ, เดžเด™เตเด™เตพ เด‰เดŸเตป เดคเดจเตเดจเต† เดฌเตเดฒเต‚ เดชเดฟเตฝ เดชเดฟเตปเด”เดŸเตเดŸเต เด—เต‚เด—เดฟเตพ เดšเต†เดฏเตเดฏเตเด•เดฏเตเด‚ เดˆ เดšเดฟเดคเตเดฐเด‚ เด•เตˆเดฏเดฟเตฝ เดธเต‚เด•เตเดทเดฟเด•เตเด•เตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
NB: เด‡เดจเตเดฑเตผเดจเต†เดฑเตเดฑเดฟเตฝ เดจเดฟเดจเตเดจเตเดณเตเดณ เดšเดฟเดคเตเดฐเดคเตเดคเดฟเตฝ เด’เดฐเต เดชเดฟเดถเด•เต เด‰เดฃเตเดŸเดพเดฏเดฟเดฐเตเดจเตเดจเต, เด…เดคเต เด…เดญเดฟเดชเตเดฐเดพเดฏเด™เตเด™เดณเดฟเตฝ เดฐเต‡เด–เดชเตเดชเต†เดŸเตเดคเตเดคเดฟเดฏเดฟเดŸเตเดŸเตเดฃเตเดŸเต, เด…เดคเดฟเดจเต เดจเดจเตเดฆเดฟ. เดšเดฟเดคเตเดฐเด‚ เดฎเดพเดฑเตเดฑเดฟเดธเตเดฅเดพเดชเดฟเดšเตเดšเต, เดชเด•เตเดทเต‡ เด‡เดคเตŠเดฐเต เดชเดพเด เดฎเดพเดฃเต - เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เดณเดฟเตฝ เดจเดฟเดจเตเดจเดฒเตเดฒ เดตเดฟเดตเดฐเด™เตเด™เตพ เดชเดฐเดฟเดถเต‹เดงเดฟเด•เตเด•เตเดจเตเดจเดคเดพเดฃเต เดจเดฒเตเดฒเดคเต.

เดžเด™เตเด™เตพ เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเต เดจเต€เด•เตเด•เด‚เดšเต†เดฏเตเดฏเตเดจเตเดจเต, เดฑเดซเดฑเตปเดธเต เดฎเดพเดจเตเดตเตฝ เดคเตเดฑเด•เตเด•เตเดจเตเดจเต, เด‡เดชเตเดชเต‹เตพ เดฎเตเดคเตฝ เดžเด™เตเด™เตพ เด…เดคเต เดฎเดพเดคเตเดฐเด‚ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจเต.
เดจเดŸเดชเดŸเดฟเด•เตเดฐเดฎเด‚: เดžเด™เตเด™เตพ เดธเตเดฑเตเดฑเดพเตปเดกเต‡เตผเดกเต เด‡เตปเดชเตเดŸเตเดŸเต/เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เด•เตˆเด•เดพเดฐเตเดฏเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต, เดŽเดธเตเดชเดฟเด เด•เต‹เตบเดซเดฟเด—เตผ เดšเต†เดฏเตเดฏเตเดจเตเดจเต, เด†เดตเดถเตเดฏเดฎเดพเดฏ เดชเต†เดฐเดฟเดซเดฑเดฒเตเด•เตพ เด“เดฃเดพเด•เตเด•เตเดจเตเดจเต.

เด‡เตปเดชเตเดŸเตเดŸเต เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต

Atmega328-เตฝ, I/O เดตเดณเดฐเต† เดฒเดณเดฟเดคเดฎเดพเดฏเดฟ เดจเดŸเดชเตเดชเดฟเดฒเดพเด•เตเด•เตเดจเตเดจเต, เด…เดคเตเด•เตŠเดฃเตเดŸเดพเดฃเต STM32 เด“เดชเตเดทเดจเตเด•เดณเตเดŸเต† เดธเดฎเตƒเดฆเตเดงเดฟ เด†เดถเดฏเด•เตเด•เตเดดเดชเตเดชเดคเตเดคเดฟเดฒเดพเด•เตเด•เตเดจเตเดจเดคเต. เด‡เดชเตเดชเต‹เตพ เดจเดฎเตเด•เตเด•เต เดจเดฟเด—เดฎเดจเด™เตเด™เตพ เดฎเดพเดคเตเดฐเดฎเต‡ เด†เดตเดถเตเดฏเดฎเตเดณเตเดณเต‚, เดŽเดจเตเดจเดพเตฝ เด‡เดตเดฏเตเด•เตเด•เต เดชเต‹เดฒเตเด‚ เดจเดพเดฒเต เด“เดชเตเดทเดจเตเด•เตพ เด‰เดฃเตเดŸเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดคเตเดฑเดจเตเดจ เดšเต‹เตผเดšเตเดš, เดชเตเดทเต-เดชเตเตพ, เด‡เดคเดฐ เดชเตเดทเต-เดชเตเตพ, เด‡เดคเดฐ เด“เดชเตเดชเตบ เดกเตเดฐเต†เดฏเดฟเตป

"เดตเดฒเดฟเด•เตเด•เตเด• เดคเดณเตเดณเต‚เด•" (เดคเดณเตเดณเตเด• เดตเดฒเดฟเด•เตเด•เตเด•) เดŽเดจเตเดจเดคเต Arduino-เตฝ เดจเดฟเดจเตเดจเตเดณเตเดณ เดธเดพเดงเดพเดฐเดฃ เด”เดŸเตเดŸเตโ€ŒเดชเตเดŸเตเดŸเดพเดฃเต, เดชเดฟเดจเตเดจเดฟเดจเต เด‰เดฏเตผเดจเตเดจเดคเต‹ เด•เตเดฑเดžเตเดžเดคเต‹ เด†เดฏ เดฎเต‚เดฒเตเดฏเด‚ เดŽเดŸเตเด•เตเด•เดพเด‚. เดŽเดจเตเดจเดพเตฝ "เด“เดชเตเดชเตบ เดกเตเดฐเต†เดฏเดฟเดจเดฟเตฝ" เด‰เดฃเตเดŸเต เดฌเตเดฆเตเดงเดฟเดฎเตเดŸเตเดŸเตเด•เตพ, เดตเดพเดธเตเดคเดตเดคเตเดคเดฟเตฝ เดŽเดฒเตเดฒเดพเด‚ เด‡เดตเดฟเดŸเต† เดฒเดณเดฟเดคเดฎเดพเดฃเต†เด™เตเด•เดฟเดฒเตเด‚:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เด”เดŸเตเดŸเตโ€ŒเดชเตเดŸเตเดŸเต เด•เต‹เตบเดซเดฟเด—เดฑเต‡เดทเตป / เด”เดŸเตเดŸเตโ€ŒเดชเตเดŸเตเดŸเดฟเดฒเต‡เด•เตเด•เต เดชเต‹เตผเดŸเตเดŸเต เด…เดธเตˆเตป เดšเต†เดฏเตเดฏเตเดฎเตเดชเต‹เตพ: / เด”เดŸเตเดŸเตโ€ŒเดชเตเดŸเตเดŸเต เดฌเดซเตผ เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต: / - เด“เดชเตเดชเตบ เดกเตเดฐเต†เดฏเดฟเตป เดฎเต‹เดกเต: เด”เดŸเตเดŸเตโ€ŒเดชเตเดŸเตเดŸเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต† "0" N-MOS เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเดจเตเดจเต, เด”เดŸเตเดŸเตโ€ŒเดชเตเดŸเตเดŸเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต† "1" เดชเต‹เตผเดŸเตเดŸเดฟเดจเต† Hi-Z เดฎเต‹เดกเดฟเตฝ เดตเดฟเดŸเตเดจเตเดจเต ( P-MOS เดธเดœเต€เดตเดฎเดพเด•เตเด•เดฟเดฏเดฟเดŸเตเดŸเดฟเดฒเตเดฒ ) / โ€“ เดชเตเดทเต-เดชเตเตพ เดฎเต‹เดกเต: เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต† "0" N-MOS เดธเดœเต€เดตเดฎเดพเด•เตเด•เตเดจเตเดจเต, เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต† "1" P-MOS เดธเดœเต€เดตเดฎเดพเด•เตเด•เตเดจเตเดจเต.

เดคเตเดฑเดจเตเดจ เดšเต‹เตผเดšเตเดš เดคเดฎเตเดฎเดฟเดฒเตเดณเตเดณ เดŽเดฒเตเดฒเดพ เดตเตเดฏเดคเตเดฏเดพเดธเดตเตเด‚ (เดคเตเดฑเดจเตเดจ เดšเต‹เตผเดšเตเดš) "เดชเตเดทเต-เดชเตเตพ" เดŽเดจเตเดจเดคเดฟเตฝ เดจเดฟเดจเตเดจเต (เดคเดณเตเดณเตเด• เดตเดฒเดฟเด•เตเด•เตเด•) เด†เดฆเตเดฏ เดชเดฟเดจเตเดจเดฟเตฝ เด‰เดฏเตผเดจเตเดจ เด…เดตเดธเตเดฅ เดธเตเดตเต€เด•เดฐเดฟเด•เตเด•เดพเตป เด•เดดเดฟเดฏเดฟเดฒเตเดฒ เดŽเดจเตเดจเดคเดพเดฃเต: เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต‡เด•เตเด•เต เด’เดฐเต†เดฃเตเดฃเด‚ เดŽเดดเตเดคเตเดฎเตเดชเต‹เตพ, เด…เดคเต เด‰เดฏเตผเดจเตเดจ เดชเตเดฐเดคเดฟเดฐเต‹เดง เดฎเต‹เดกเดฟเดฒเต‡เด•เตเด•เต เดชเต‹เด•เตเดจเตเดจเต (เด‰เดฏเตผเดจเตเดจ เดชเตเดฐเดคเดฟเดฐเต‹เดงเด‚, Hi-Z). เดชเต‚เดœเตเดฏเด‚ เดŽเดดเตเดคเตเดฎเตเดชเต‹เตพ, เดชเดฟเตป เดฏเตเด•เตเดคเดฟเดชเดฐเดฎเดพเดฏเตเด‚ เดตเตˆเดฆเตเดฏเตเดคเดชเดฐเดฎเดพเดฏเตเด‚ เดฐเดฃเตเดŸเต เดฎเต‹เดกเตเด•เดณเดฟเดฒเตเด‚ เด’เดฐเต‡เดชเต‹เดฒเต† เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเดจเตเดจเต.

เดธเดพเดงเดพเดฐเดฃ เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดฎเต‹เดกเดฟเตฝ, เดชเดฟเตป เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต† เด‰เดณเตเดณเดŸเด•เตเด•เด™เตเด™เตพ เดชเตเดฐเด•เตเดทเต‡เดชเดฃเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต. "เดฌเดฆเดฒเดฟเตฝ" เด‡เดคเต เด…เดจเตเดฌเดจเตเดง เดชเต†เดฐเดฟเดซเดฑเดฒเตเด•เดณเดพเตฝ เดจเดฟเดฏเดจเตเดคเตเดฐเดฟเด•เตเด•เดชเตเดชเต†เดŸเตเดจเตเดจเต (9.1.4 เด•เดพเดฃเตเด•):

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เด’เดฐเต เดชเต‹เตผเดŸเตเดŸเต เดฌเดฟเดฑเตเดฑเต เด’เดฐเต เด‡เดคเดฐ เดซเด‚เด—เตโ€Œเดทเตป เดชเดฟเตป เด†เดฏเดฟ เด•เต‹เตบเดซเดฟเด—เตผ เดšเต†เดฏเตโ€ŒเดคเดฟเดŸเตเดŸเตเดฃเตเดŸเต†เด™เตเด•เดฟเตฝ, เดชเดฟเตป เดฐเดœเดฟเดธเตโ€Œเดฑเตเดฑเตผ เดชเตเดฐเดตเตผเดคเตเดคเดจเดฐเดนเดฟเดคเดฎเดพเด•เตเด•เตเด•เดฏเตเด‚ เดชเดฟเตป เดชเต†เดฐเดฟเดซเดฑเตฝ เดชเดฟเดจเตเดจเตเดฎเดพเดฏเดฟ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเด‚.

เด“เดฐเต‹ เดชเดฟเดจเตเดจเดฟเดจเตเดฑเต†เดฏเตเด‚ เด‡เดคเดฐ เดชเตเดฐเดตเตผเดคเตเดคเดจเด‚ เดตเดฟเดตเดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต เดชเดฟเตป เดจเดฟเตผเดตเดšเดจเด™เตเด™เตพ เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเต เดกเต—เตบเดฒเต‹เดกเต เดšเต†เดฏเตเดค เดšเดฟเดคเตเดฐเดคเตเดคเดฟเดฒเดพเดฃเต. เด’เดฐเต เดชเดฟเดจเตเดจเดฟเดจเต เดจเดฟเดฐเดตเดงเดฟ เดฌเดฆเตฝ เดซเด‚เด—เตเดทเดจเตเด•เตพ เด‰เดฃเตเดŸเต†เด™เตเด•เดฟเตฝ เดŽเดจเตเดคเตเดšเต†เดฏเตเดฏเดฃเด‚ เดŽเดจเตเดจ เดšเต‹เดฆเตเดฏเดคเตเดคเดฟเดจเต, เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเดฒเต† เด’เดฐเต เด…เดŸเดฟเด•เตเด•เตเดฑเดฟเดชเตเดชเดพเดฃเต เด‰เดคเตเดคเดฐเด‚ เดจเตฝเด•เตเดจเตเดจเดคเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เด’เดจเตเดจเดฟเดฒเดงเดฟเด•เด‚ เดชเต†เดฐเดฟเดซเดฑเดฒเตเด•เตพ เด’เดฐเต‡ เดชเดฟเตป เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเด•เดฏเดพเดฃเต†เด™เตเด•เดฟเตฝ, เด‡เดคเดฐ เดซเด‚เด—เตโ€Œเดทเดจเตเด•เตพ เดคเดฎเตเดฎเดฟเดฒเตเดณเตเดณ เดตเตˆเดฐเตเดฆเตเดงเตเดฏเด‚ เด’เดดเดฟเดตเดพเด•เตเด•เดพเตป, เดชเต†เดฐเดฟเดซเดฑเตฝ เด•เตเดฒเต‹เด•เตเด•เต เดŽเดจเต‡เดฌเดฟเตพ เดฌเดฟเดฑเตเดฑเต เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดŸเต‹เด—เดฟเตพ เดšเต†เดฏเตโ€Œเดคเต เด’เดฐเต เดธเดฎเดฏเด‚ เด’เดฐเต เดชเต†เดฐเดฟเดซเดฑเตฝ เดฎเดพเดคเตเดฐเดฎเต‡ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เดพเดตเต‚ (เด…เดจเตเดฏเต‹เดœเตเดฏเดฎเดพเดฏ RCC เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ).

เด…เดตเดธเดพเดจเดฎเดพเดฏเดฟ, เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดฎเต‹เดกเดฟเดฒเต† เดชเดฟเดจเตเดจเตเด•เตพเด•เตเด•เตเด‚ เด’เดฐเต เด•เตเดฒเต‹เด•เตเด•เต เดธเตเดชเต€เดกเต เด‰เดฃเตเดŸเต. เด‡เดคเต เดฎเดฑเตเดฑเตŠเดฐเต เดŠเตผเดœเตเดœ เดธเด‚เดฐเด•เตเดทเดฃ เดธเดตเดฟเดถเต‡เดทเดคเดฏเดพเดฃเต; เดžเด™เตเด™เดณเตเดŸเต† เด•เดพเดฐเตเดฏเดคเตเดคเดฟเตฝ, เดžเด™เตเด™เตพ เด‡เดคเต เดชเดฐเดฎเดพเดตเดงเดฟ เดธเดœเตเดœเดฎเดพเด•เตเด•เดฟ เด…เดคเต เดฎเดฑเด•เตเด•เตเดจเตเดจเต.

เด…เดคเดฟเดจเดพเตฝ: เดžเด™เตเด™เตพ SPI เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจเต, เด…เดคเดพเดฏเดคเต เดฐเดฃเตเดŸเต เดชเดฟเดจเตเดจเตเด•เตพ (เดกเดพเดฑเตเดฑเดฏเตเด‚ เด•เตเดฒเต‹เด•เตเด•เต เดธเดฟเด—เตเดจเดฒเตเด‚ เด‰เดณเตเดณเดคเต) "เดฌเดฆเตฝ เดชเตเดทเต-เดชเตเตพ เดซเด‚เด—เตเดทเตป" เด†เดฏเดฟเดฐเดฟเด•เตเด•เดฃเด‚, เดฎเดฑเตเดฑเตŠเดจเตเดจเต (LAT) "เดชเดคเดฟเดตเต เดชเตเดทเต-เดชเตเตพ" เด†เดฏเดฟเดฐเดฟเด•เตเด•เดฃเด‚. เดŽเดจเตเดจเดพเตฝ เด…เดตเดฐเต† เดšเตเดฎเดคเดฒเดชเตเดชเต†เดŸเตเดคเตเดคเตเดจเตเดจเดคเดฟเดจเต เดฎเตเดฎเตเดชเต, เดจเดฎเตเด•เตเด•เต เดŽเดธเตเดชเดฟเดเดฏเตเดฎเดพเดฏเดฟ เด‡เดŸเดชเต†เดŸเดพเด‚.

SPI

เดฎเดฑเตเดฑเตŠเดฐเต เดšเต†เดฑเดฟเดฏ เดตเดฟเดฆเตเดฏเดพเดญเตเดฏเดพเดธ เดชเดฐเดฟเดชเดพเดŸเดฟ

เดŽเดธเตเดชเดฟเด เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เดธเต€เดฐเดฟเดฏเตฝ เดชเต†เดฐเดฟเดซเดฑเตฝ เด‡เดจเตเดฑเตผเดซเต‡เดธเต (เดธเต€เดฐเดฟเดฏเตฝ เดชเต†เดฐเดฟเดซเดฑเตฝ เด‡เดจเตเดฑเตผเดซเต‡เดธเต) เด’เดฐเต เดŽเด‚เด•เต†เดฏเต† เดฎเดฑเตเดฑเต เดŽเด‚เด•เต†เด•เดณเตเดฎเดพเดฏเตเด‚ เดชเตŠเดคเตเดตเต† เดชเตเดฑเด‚เดฒเต‹เด•เดตเตเดฎเดพเดฏเตเด‚ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเตเดณเตเดณ เดฒเดณเดฟเดคเดตเตเด‚ เดตเดณเดฐเต† เดซเดฒเดชเตเดฐเดฆเดตเตเดฎเดพเดฏ เด‡เดจเตเดฑเตผเดซเต‡เดธเดพเดฃเต. เด…เดคเดฟเดจเตเดฑเต† เดชเตเดฐเดตเตผเดคเตเดคเดจเดคเตเดคเดฟเดจเตเดฑเต† เดคเดคเตเดตเด‚ เด‡เดคเดฟเดจเด•เด‚ เดฎเตเด•เดณเดฟเตฝ เดตเดฟเดตเดฐเดฟเดšเตเดšเดฟเดŸเตเดŸเตเดฃเตเดŸเต, เด…เดตเดฟเดŸเต† เดšเตˆเดจเต€เดธเต LED เดกเตเดฐเตˆเดตเตผ (เดฑเดซเดฑเตปเดธเต เดฎเดพเดจเตเดตเดฒเดฟเตฝ, เดตเดฟเดญเดพเด—เด‚ 25 เด•เดพเดฃเตเด•). เดŽเดธเตเดชเดฟเดเด•เตเด•เต เดฎเดพเดธเตเดฑเตเดฑเตผ ("เดฎเดพเดธเตเดฑเตเดฑเตผ"), เดธเตเดฒเต‡เดตเต ("เดธเตเดฒเต‡เดตเต") เดฎเต‹เดกเดฟเตฝ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เดพเดจเดพเด•เตเด‚. SPI-เด•เตเด•เต เดจเดพเดฒเต เด…เดŸเดฟเดธเตเดฅเดพเดจ เดšเดพเดจเดฒเตเด•เดณเตเดฃเตเดŸเต, เด…เดตเดฏเดฟเตฝ เดŽเดฒเตเดฒเดพเด‚ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เดพเดจเดพเดตเดฟเดฒเตเดฒ:

  • เดฎเต‹เดธเดฟ, เดฎเดพเดธเตเดฑเตเดฑเตผ เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต / เดธเตเดฒเต‡เดตเต เด‡เตปเดชเตเดŸเตเดŸเต: เดˆ เดชเดฟเตป เดฎเดพเดธเตเดฑเตเดฑเตผ เดฎเต‹เดกเดฟเตฝ เดกเดพเดฑเตเดฑ เด•เตˆเดฎเดพเดฑเตเด•เดฏเตเด‚ เดธเตเดฒเต‡เดตเต เดฎเต‹เดกเดฟเตฝ เดกเดพเดฑเตเดฑ เดธเตเดตเต€เด•เดฐเดฟเด•เตเด•เตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต;
  • MISO, เดฎเดพเดธเตเดฑเตเดฑเตผ เด‡เตปเดชเตเดŸเตเดŸเต / เดธเตเดฒเต‡เดตเต เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต: เดจเต‡เดฐเต†เดฎเดฑเดฟเดšเตเดšเต, เด…เดคเต เดฏเดœเดฎเดพเดจเดจเดฟเตฝ เดธเตเดตเต€เด•เดฐเดฟเด•เตเด•เตเด•เดฏเตเด‚ เดธเตเดฒเต‡เดตเดฟเตฝ เดธเด‚เดชเตเดฐเต‡เด•เตเดทเดฃเด‚ เดšเต†เดฏเตเดฏเตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต;
  • SCK, เดธเต€เดฐเดฟเดฏเตฝ เด•เตเดฒเต‹เด•เตเด•เต: เดฎเดพเดธเตเดฑเตเดฑเดฑเดฟเตฝ เดกเดพเดฑเตเดฑเดพ เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดทเดจเตเดฑเต† เด†เดตเตƒเดคเตเดคเดฟ เดธเดœเตเดœเดฎเดพเด•เตเด•เตเดจเตเดจเต เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เดธเตเดฒเต‡เดตเดฟเตฝ เด’เดฐเต เด•เตเดฒเต‹เด•เตเด•เต เดธเดฟเด—เตเดจเตฝ เดธเตเดตเต€เด•เดฐเดฟเด•เตเด•เตเดจเตเดจเต. เด…เดŸเดฟเดธเตเดฅเดพเดจเดชเดฐเดฎเดพเดฏเดฟ เด…เดŸเดฟเด•เตเด•เตเดจเตเดจ เดฌเต€เดฑเตเดฑเตเด•เตพ;
  • SS, Slave Select: เดˆ เดšเดพเดจเดฒเดฟเดจเตเดฑเต† เดธเดนเดพเดฏเดคเตเดคเต‹เดŸเต†, เดคเดจเตเดจเดฟเตฝ เดจเดฟเดจเตเดจเต เดŽเดจเตเดคเต†เด™เตเด•เดฟเดฒเตเด‚ เด†เดตเดถเตเดฏเดฎเตเดฃเตเดŸเต†เดจเตเดจเต เด…เดŸเดฟเดฎเด•เตเด•เต เด…เดฑเดฟเดฏเดพเด‚. STM32-เตฝ เด‡เดคเดฟเดจเต† NSS เดŽเดจเตเดจเต เดตเดฟเดณเดฟเด•เตเด•เตเดจเตเดจเต, เด‡เดตเดฟเดŸเต† N = เดจเต†เด—เดฑเตเดฑเต€เดตเต, เด…เดคเดพเดฏเดคเต. เดˆ เดšเดพเดจเดฒเดฟเตฝ เด—เตเดฐเต—เดฃเตเดŸเต เด‰เดฃเตเดŸเต†เด™เตเด•เดฟเตฝ เด•เตบเดŸเตเดฐเต‹เดณเตผ เด’เดฐเต เด…เดŸเดฟเดฎเดฏเดพเด•เตเด‚. เด‡เดคเต เด“เดชเตเดชเตบ เดกเตเดฐเต†เดฏเดฟเตป เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดฎเต‹เดกเตเดฎเดพเดฏเดฟ เดจเดจเตเดจเดพเดฏเดฟ เดธเด‚เดฏเต‹เดœเดฟเดชเตเดชเดฟเด•เตเด•เตเดจเตเดจเต, เดชเด•เตเดทเต‡ เด…เดคเต เดฎเดฑเตเดฑเตŠเดฐเต เด•เดฅเดฏเดพเดฃเต.

เดฎเดฑเตเดฑเต†เดฒเตเดฒเดพ เด•เดพเดฐเตเดฏเด™เตเด™เดณเต†เดฏเตเด‚ เดชเต‹เดฒเต†, STM32-เดฒเต† SPI เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดคเดฏเดพเตฝ เดธเดฎเตเดชเดจเตเดจเดฎเดพเดฃเต, เด‡เดคเต เดฎเดจเดธเตเดธเดฟเดฒเดพเด•เตเด•เดพเตป เด•เตเดฑเดšเตเดšเต เดฌเตเดฆเตเดงเดฟเดฎเตเดŸเตเดŸเดพเดฃเต. เด‰เดฆเดพเดนเดฐเดฃเดคเตเดคเดฟเดจเต, เด‡เดคเดฟเดจเต เดŽเดธเตเดชเดฟเดเดฏเดฟเตฝ เดฎเดพเดคเตเดฐเดฎเดฒเตเดฒ, เด’เดฐเต เด 2 เดŽเดธเต เด‡เดจเตเดฑเตผเดซเต‡เดธเดฟเดฒเตเด‚ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เดพเตป เด•เดดเดฟเดฏเตเด‚, เด•เต‚เดŸเดพเดคเต† เดกเต‹เด•เตเดฏเตเดฎเต†เดจเตเดฑเต‡เดทเดจเดฟเตฝ เด…เดตเดฏเตเดŸเต† เดตเดฟเดตเดฐเดฃเด™เตเด™เตพ เดฎเดฟเดถเตเดฐเดฟเดคเดฎเดพเดฃเต, เดธเดฎเดฏเดฌเดจเตเดงเดฟเดคเดฎเดพเดฏเดฟ เด…เดงเดฟเด•เดฎเดพเดฏเดฟ เดฎเตเดฑเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเต เด†เดตเดถเตเดฏเดฎเดพเดฃเต. เดžเด™เตเด™เดณเตเดŸเต† เดšเตเดฎเดคเดฒ เดตเดณเดฐเต† เดฒเดณเดฟเดคเดฎเดพเดฃเต: เดฎเต‹เดธเดฟเดฏเตเด‚ เดŽเดธเตโ€Œเดธเดฟเด•เต†เดฏเตเด‚ เดฎเดพเดคเตเดฐเด‚ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดžเด™เตเด™เตพเด•เตเด•เต เดกเดพเดฑเตเดฑ เด…เดฏเดฏเตโ€Œเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต. เดžเด™เตเด™เตพ เดธเต†เด•เตเดทเตป 25.3.4 (เดนเดพเดซเต-เดกเตเดฏเต‚เดชเตเดฒเต†เด•เตเดธเต เด•เดฎเตเดฎเตเดฏเต‚เดฃเดฟเด•เตเด•เต‡เดทเตป, เดนเดพเดซเต-เดกเตเดฏเตเดชเตเดฒเต†เด•เตเดธเต เด•เดฎเตเดฎเตเดฏเต‚เดฃเดฟเด•เตเด•เต‡เดทเตป) เดŽเดจเตเดจเดคเดฟเดฒเต‡เด•เตเด•เต เดชเต‹เด•เตเดจเตเดจเต, เด…เดตเดฟเดŸเต† เดžเด™เตเด™เตพ เด•เดฃเตเดŸเต†เดคเตเดคเตเดจเตเดจเต. 1 เด•เตเดฒเต‹เด•เตเด•เตเด‚ 1 เดเด•เดฆเดฟเดถ เดกเดพเดฑเตเดฑเดพ เดตเดฏเดฑเตเด‚ (1 เด•เตเดฒเต‹เด•เตเด•เต เดธเดฟเด—เตเดจเดฒเตเด‚ 1 เดเด•เดฆเดฟเดถ เดกเดพเดฑเตเดฑ เดธเตเดŸเตเดฐเต€เดฎเตเด‚):

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดˆ เดฎเต‹เดกเดฟเตฝ, เด†เดชเตเดฒเดฟเด•เตเด•เต‡เดทเตป เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดฑเตเดฑเต-เด’เตบเดฒเดฟ เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เดฑเดฟเดธเต€เดตเต-เด’เตบเดฒเดฟ เดฎเต‹เดกเดฟเตฝ SPI เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจเต. / เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดฑเตเดฑเต-เด’เตบเดฒเดฟ เดฎเต‹เดกเต เดกเตเดฏเตเดชเตเดฒเต†เด•เตเดธเต เดฎเต‹เดกเดฟเดจเต เดธเดฎเดพเดจเดฎเดพเดฃเต: เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดฑเตเดฑเต เดชเดฟเดจเตเดจเดฟเตฝ เดกเดพเดฑเตเดฑ เด•เตˆเดฎเดพเดฑเตเดฑเด‚ เดšเต†เดฏเตเดฏเดชเตเดชเต†เดŸเตเดจเตเดจเต (เดฎเดพเดธเตเดฑเตเดฑเตผ เดฎเต‹เดกเดฟเตฝ MOSI เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เดธเตเดฒเต‡เดตเต เดฎเต‹เดกเดฟเตฝ MISO), เด•เต‚เดŸเดพเดคเต† เดธเตเดตเต€เด•เดฐเดฟเด•เตเด•เตเดจเตเดจ เดชเดฟเตป (เดฏเดฅเดพเด•เตเดฐเดฎเด‚ MISO เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ MOSI) เด’เดฐเต เดธเดพเดงเดพเดฐเดฃ I/O เดชเดฟเตป เด†เดฏเดฟ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เดพเด‚. . เดˆ เดธเดพเดนเดšเดฐเตเดฏเดคเตเดคเดฟเตฝ, เด†เดชเตเดฒเดฟเด•เตเด•เต‡เดทเดจเต Rx เดฌเดซเตผ เด…เดตเด—เดฃเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต (เด…เดคเต เดตเดพเดฏเดฟเดšเตเดšเดพเตฝ, เด…เดตเดฟเดŸเต† เดŸเตเดฐเดพเตปเดธเตเดซเตผ เดšเต†เดฏเตเดค เดกเดพเดฑเตเดฑ เด‰เดฃเตเดŸเดพเด•เดฟเดฒเตเดฒ).

เด•เตŠเดณเตเดณเดพเด‚, MISO เดชเดฟเตป เดธเต—เดœเดจเตเดฏเดฎเดพเดฃเต, เดจเดฎเตเด•เตเด•เต เด‡เดคเดฟเดฒเต‡เด•เตเด•เต LAT เดธเดฟเด—เตเดจเตฝ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เดพเด‚. เดธเตเดฒเต‡เดตเต เดธเต†เดฒเด•เตเดŸเต เดจเต‹เด•เตเด•เดพเด‚, STM32-เตฝ เดชเตเดฐเต‹เด—เตเดฐเดพเดฎเดพเดฑเตเดฑเดฟเด•เต เด†เดฏเดฟ เดจเดฟเดฏเดจเตเดคเตเดฐเดฟเด•เตเด•เดพเตป เด•เดดเดฟเดฏเตเดจเตเดจ, เด…เดคเต เดตเดณเดฐเต† เดธเต—เด•เดฐเตเดฏเดชเตเดฐเดฆเดฎเดพเดฃเต. เดธเต†เด•เตเดทเตป 25.3.1 SPI เดชเตŠเดคเตเดตเดพเดฏ เดตเดฟเดตเดฐเดฃเดคเตเดคเดฟเตฝ เดžเด™เตเด™เตพ เด…เดคเต‡ เดชเต‡เดฐเดฟเดจเตเดฑเต† เด–เดฃเตเดกเดฟเด• เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดธเต‹เดซเตเดฑเตเดฑเตโ€Œเดตเต†เดฏเตผ เดจเดฟเดฏเดจเตเดคเตเดฐเดฃเด‚ NSS (SSM = 1) / เดธเตเดฒเต‡เดตเต เดคเดฟเดฐเดžเตเดžเต†เดŸเตเด•เตเด•เตฝ เดตเดฟเดตเดฐเด™เตเด™เตพ SPI_CR1 เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดจเตเดฑเต† SSI เดฌเดฟเดฑเตเดฑเดฟเตฝ เด…เดŸเด™เตเด™เดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต. เดฎเดฑเตเดฑเต เด†เดชเตเดฒเดฟเด•เตเด•เต‡เดทเตป เด†เดตเดถเตเดฏเด™เตเด™เตพเด•เตเด•เดพเดฏเดฟ เดฌเดพเดนเตเดฏ NSS เดชเดฟเตป เดธเต—เดœเดจเตเดฏเดฎเดพเดฏเดฟ เดคเตเดŸเดฐเตเดจเตเดจเต.

เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เดณเดฟเตฝ เดŽเดดเตเดคเดพเดจเตเดณเตเดณ เดธเดฎเดฏเดฎเดพเดฃเดฟเดคเต. เดžเดพเตป SPI2 เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เดพเตป เดคเต€เดฐเตเดฎเดพเดจเดฟเดšเตเดšเต, เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเตฝ เด…เดคเดฟเดจเตเดฑเต† เด…เดŸเดฟเดธเตเดฅเดพเดจ เดตเดฟเดฒเดพเดธเด‚ เดจเต‹เด•เตเด•เตเด• - เดตเดฟเดญเดพเด—เด‚ 3.3 เดฎเต†เดฎเตเดฎเดฑเดฟ เดฎเดพเดชเตเดชเดฟเตฝ:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

เดถเดฐเดฟ, เดจเดฎเตเด•เตเด•เต เด†เดฐเด‚เดญเดฟเด•เตเด•เดพเด‚:

#define _SPI2_(mem_offset) (*(volatile uint32_t *)(0x40003800 + (mem_offset)))

"เดฎเดพเดธเตเดฑเตเดฑเตผ เดฎเต‹เดกเดฟเตฝ SPI เด•เต‹เตบเดซเดฟเด—เตผ เดšเต†เดฏเตเดฏเตเดจเตเดจเต" เดŽเดจเตเดจ เดธเตเดตเดฏเด‚ เดตเดฟเดถเดฆเต€เด•เดฐเดฃ เดคเดฒเด•เตเด•เต†เดŸเตเดŸเต‹เดŸเต† เดตเดฟเดญเดพเด—เด‚ 25.3.3 เดคเตเดฑเด•เตเด•เตเด•:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

1. SPI_CR2 เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ เดฌเดฟเดฑเตเดฑเตเด•เตพ BR[0:1] เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดธเต€เดฐเดฟเดฏเตฝ เด•เตเดฒเต‹เด•เตเด•เต เดซเตเดฐเต€เด•เตเดตเตปเดธเดฟ เดธเดœเตเดœเดฎเดพเด•เตเด•เตเด•.

เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เด…เดคเต‡ เดชเต‡เดฐเดฟเดฒเตเดณเตเดณ เดฑเดซเดฑเตปเดธเต เดฎเดพเดจเตเดตเตฝ เดตเดฟเดญเดพเด—เดคเตเดคเดฟเดฒเดพเดฃเต เดถเต‡เด–เดฐเดฟเด•เตเด•เตเดจเตเดจเดคเต. เดตเดฟเดฒเดพเดธ เดทเดฟเดซเตเดฑเตเดฑเต (เดตเดฟเดฒเดพเดธเด‚ เด“เดซเตโ€Œเดธเต†เดฑเตเดฑเต) CR1 - 0x00, เดกเดฟเดซเต‹เตพเดŸเตเดŸเดพเดฏเดฟ เดŽเดฒเตเดฒเดพ เดฌเดฟเดฑเตเดฑเตเด•เดณเตเด‚ เดฎเดพเดฏเตโ€Œเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต (เดฎเต‚เดฒเตเดฏเด‚ เดชเตเดจเดƒเดธเดœเตเดœเดฎเดพเด•เตเด•เตเด• 0x0000):

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

BR เดฌเดฟเดฑเตเดฑเตเด•เตพ เด•เตบเดŸเตเดฐเต‹เดณเตผ เด•เตเดฒเต‹เด•เตเด•เต เดกเดฟเดตเตˆเดกเตผ เดธเดœเตเดœเดฎเดพเด•เตเด•เตเดจเตเดจเต, เด…เด™เตเด™เดจเต† SPI เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เดพเดจเตเดณเตเดณ เด†เดตเตƒเดคเตเดคเดฟ เดจเดฟเตผเดฃเตเดฃเดฏเดฟเด•เตเด•เตเดจเตเดจเต. เดžเด™เตเด™เดณเตเดŸเต† STM32 เด†เดตเตƒเดคเตเดคเดฟ 72 MHz เด†เดฏเดฟเดฐเดฟเด•เตเด•เตเด‚, LED เดกเตเดฐเตˆเดตเตผ, เด…เดคเดฟเดจเตเดฑเต† เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเต เด…เดจเตเดธเดฐเดฟเดšเตเดšเต, 25 MHz เดตเดฐเต† เดซเตเดฐเต€เด•เตเดตเตปเดธเดฟเดฏเดฟเตฝ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเดจเตเดจเต, เด…เดคเดฟเดจเดพเตฝ เดจเดฎเตเดฎเตพ เดจเดพเดฒเดพเดฏเดฟ เดนเดฐเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต (BR[2:0] = 001).

#define _SPI_CR1 0x00

#define BR_0        0x0008
#define BR_1        0x0010
#define BR_2        0x0020

_SPI2_ (_SPI_CR1) |= BR_0;// pclk/4

2. เดกเดพเดฑเตเดฑเดพ เด•เตˆเดฎเดพเดฑเตเดฑเดตเตเด‚ เดธเต€เดฐเดฟเดฏเตฝ เด•เตเดฒเต‹เด•เตเด•เต เดธเดฎเดฏเดตเตเด‚ เดคเดฎเตเดฎเดฟเดฒเตเดณเตเดณ เดฌเดจเตเดงเด‚ เดจเดฟเตผเดตเดšเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเต CPOL, CPHA เดฌเดฟเดฑเตเดฑเตเด•เตพ เดธเดœเตเดœเดฎเดพเด•เตเด•เตเด• (เดชเต‡เดœเต 240-เดฒเต† เดกเดฏเด—เตเดฐเด‚ เด•เดพเดฃเตเด•)

เดžเด™เตเด™เตพ เด‡เดตเดฟเดŸเต† เด’เดฐเต เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเต เดตเดพเดฏเดฟเด•เตเด•เตเด•เดฏเตเด‚ เดธเตโ€Œเด•เต€เดฎเดพเดฑเตเดฑเดฟเด•เตโ€Œเดธเต เดจเต‹เด•เตเด•เดพเดคเดฟเดฐเดฟเด•เตเด•เตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเดพเตฝ, เดชเต‡เดœเต 704-เดฒเต† CPOL, CPHA เดฌเดฟเดฑเตเดฑเตเด•เดณเตเดŸเต† เดŸเต†เด•เตโ€Œเดธเตโ€Œเดฑเตเดฑเต เดตเดฟเดตเดฐเดฃเด‚ เดจเดฎเตเด•เตเด•เต เดธเต‚เด•เตเดทเตเดฎเดฎเดพเดฏเดฟ เดชเดฐเดฟเดถเต‹เดงเดฟเด•เตเด•เดพเด‚ (SPI เดชเตŠเดคเตเดตเดพเดฏ เดตเดฟเดตเดฐเดฃเด‚):

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เด•เตเดฒเต‹เด•เตเด•เต เด˜เดŸเตเดŸเดตเตเด‚ เดงเตเดฐเตเดตเต€เดฏเดคเดฏเตเด‚
SPI_CR1 เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดจเตเดฑเต† CPOL, CPHA เดฌเดฟเดฑเตเดฑเตเด•เตพ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต, เดจเดฟเด™เตเด™เตพเด•เตเด•เต เดจเดพเดฒเต เดŸเตˆเดฎเดฟเด‚เด—เต เดฌเดจเตเดงเด™เตเด™เตพ เดชเตเดฐเต‹เด—เตเดฐเดฎเดพเดฑเตเดฑเดฟเด•เตเด•เดพเดฏเดฟ เดคเดฟเดฐเดžเตเดžเต†เดŸเตเด•เตเด•เดพเด‚. CPOL (เด•เตเดฒเต‹เด•เตเด•เต เดชเต‹เดณเดพเดฐเดฟเดฑเตเดฑเดฟ) เดฌเดฟเดฑเตเดฑเต เดกเดพเดฑเตเดฑ เด•เตˆเดฎเดพเดฑเตเดฑเด‚ เดšเต†เดฏเตเดฏเดชเตเดชเต†เดŸเดพเดคเตเดคเดชเตเดชเต‹เตพ เด•เตเดฒเต‹เด•เตเด•เต เดธเดฟเด—เตเดจเดฒเดฟเดจเตเดฑเต† เด…เดตเดธเตเดฅเดฏเต† เดจเดฟเดฏเดจเตเดคเตเดฐเดฟเด•เตเด•เตเดจเตเดจเต. เดˆ เดฌเดฟเดฑเตเดฑเต เดฎเดพเดธเตเดฑเตเดฑเตผ, เดธเตเดฒเต‡เดตเต เดฎเต‹เดกเตเด•เตพ เดจเดฟเดฏเดจเตเดคเตเดฐเดฟเด•เตเด•เตเดจเตเดจเต. CPOL เดชเตเดจเดƒเดธเดœเตเดœเดฎเดพเด•เตเด•เดฟเดฏเดพเตฝ, เดตเดฟเดถเตเดฐเดฎ เดฎเต‹เดกเดฟเตฝ SCK เดชเดฟเตป เด•เตเดฑเดตเดพเดฃเต. CPOL เดฌเดฟเดฑเตเดฑเต เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดŸเตเดŸเตเดฃเตเดŸเต†เด™เตเด•เดฟเตฝ, เดตเดฟเดถเตเดฐเดฎ เดฎเต‹เดกเดฟเตฝ SCK เดชเดฟเตป เด‰เดฏเตผเดจเตเดจเดคเดพเดฃเต.
CPHA (เด•เตเดฒเต‹เด•เตเด•เต เดซเต‡เดธเต) เดฌเดฟเดฑเตเดฑเต เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เตเดฎเตเดชเต‹เตพ, เด‰เดฏเตผเดจเตเดจ เดฌเดฟเดฑเตเดฑเต เดŸเตเดฐเดพเดชเตเดชเต เดธเตเดŸเตเดฐเต‹เดฌเต SCK เดธเดฟเด—เตเดจเดฒเดฟเดจเตเดฑเต† เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เด…เดฐเดฟเด•เดพเดฃเต (CPOL เดตเตเดฏเด•เตเดคเดฎเดพเดฃเต†เด™เตเด•เดฟเตฝ เดตเต€เดดเตเด‚, CPOL เดธเดœเตเดœเดฎเดพเด•เตเด•เดฟเดฏเดพเตฝ เด‰เดฏเดฐเตเด‚). เด•เตเดฒเต‹เด•เตเด•เต เดธเดฟเด—เตเดจเดฒเดฟเดฒเต† เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดฎเดพเดฑเตเดฑเดคเตเดคเดฟเดฒเต‚เดŸเต† เดกเดพเดฑเตเดฑ เดชเดฟเดŸเดฟเดšเตเดšเต†เดŸเตเด•เตเด•เตเดจเตเดจเต. CPHA เดฌเดฟเดฑเตเดฑเต เดตเตเดฏเด•เตเดคเดฎเดพเดฃเต†เด™เตเด•เดฟเตฝ, เด‰เดฏเตผเดจเตเดจ เดฌเดฟเดฑเตเดฑเต เดŸเตเดฐเดพเดชเตเดชเต เดธเตเดŸเตเดฐเต‹เดฌเต เดŽเดจเตเดจเดคเต SCK เดธเดฟเด—เตเดจเดฒเดฟเดจเตเดฑเต† เดฑเตˆเดธเดฟเด‚เด—เต เดŽเดกเตเดœเดพเดฃเต (CPOL เดธเดœเตเดœเดฎเดพเด•เตเด•เดฟเดฏเดพเตฝ เดตเต€เดดเตเดจเตเดจ เดŽเดกเตเดœเต, CPOL เดฎเดพเดฏเตโ€Œเดšเตเดšเดพเตฝ เด‰เดฏเดฐเตเดจเตเดจ เดŽเดกเตเดœเต). เด•เตเดฒเต‹เด•เตเด•เต เดธเดฟเด—เตเดจเดฒเดฟเดฒเต† เด†เดฆเตเดฏ เดฎเดพเดฑเตเดฑเดคเตเดคเดฟเตฝ เดกเดพเดฑเตเดฑ เด•เตเดฏเดพเดชเตโ€Œเดšเตผ เดšเต†เดฏเตเดฏเตเดจเตเดจเต.

เดˆ เด…เดฑเดฟเดตเต เด†เด—เดฟเดฐเดฃเด‚ เดšเต†เดฏเตเดค เดถเต‡เดทเด‚, เดฐเดฃเตเดŸเต เดฌเดฟเดฑเตเดฑเตเด•เดณเตเด‚ เดชเต‚เดœเตเดฏเดฎเดพเดฏเดฟ เดคเตเดŸเดฐเดฃเด‚ เดŽเดจเตเดจ เดจเดฟเด—เดฎเดจเดคเตเดคเดฟเตฝ เดžเด™เตเด™เตพ เดŽเดคเตเดคเดฟเดšเตเดšเต‡เดฐเตเดจเตเดจเต, เด•เดพเดฐเดฃเด‚ เด‰เดชเดฏเต‹เด—เดคเตเดคเดฟเดฒเดฟเดฒเตเดฒเดพเดคเตเดคเดชเตเดชเต‹เตพ SCK เดธเดฟเด—เตเดจเตฝ เด•เตเดฑเดตเดพเดฏเดฟเดฐเดฟเด•เตเด•เดฃเดฎเต†เดจเตเดจเตเด‚ เดชเตพเดธเดฟเดจเตเดฑเต† เด‰เดฏเดฐเตเดจเตเดจ เด…เดฐเดฟเด•เดฟเตฝ เดกเดพเดฑเตเดฑ เด•เตˆเดฎเดพเดฑเตเดฑเด‚ เดšเต†เดฏเตเดฏเดฃเดฎเต†เดจเตเดจเตเด‚ เดžเด™เตเด™เตพ เด†เด—เตเดฐเดนเดฟเด•เตเด•เตเดจเตเดจเต (เดšเดฟเดคเตเดฐเด‚. เดฑเตˆเดธเดฟเด‚เด—เต เดŽเดกเตเดœเต DM634 เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเตฝ).

เดตเดดเดฟเดฏเดฟเตฝ, เด‡เดตเดฟเดŸเต† เดžเด™เตเด™เตพ เด†เดฆเตเดฏเด‚ ST เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เดณเดฟเดฒเต† เดชเดฆเดพเดตเดฒเดฟเดฏเตเดŸเต† เด’เดฐเต เดธเดตเดฟเดถเต‡เดทเดค เดจเต‡เดฐเดฟเดŸเตเดŸเต: เด…เดตเดฏเดฟเตฝ "เดฌเดฟเดฑเตเดฑเต เดชเต‚เดœเตเดฏเดคเตเดคเดฟเดฒเต‡เด•เตเด•เต เดชเตเดจเดƒเดธเดœเตเดœเดฎเดพเด•เตเด•เตเด•" เดŽเดจเตเดจ เดตเดพเดšเด•เด‚ เดŽเดดเตเดคเดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต. เด…เตฝเดชเตเดชเด‚ เดฑเต€เดธเต†เดฑเตเดฑเต เดšเต†เดฏเตเดฏเดพเตปเดชเด•เตเดทเต‡ เด‡เดฒเตเดฒ เด•เตเดฑเดšเตเดšเต เด•เตเดฒเดฟเดฏเตผ เดšเต†เดฏเตเดฏเดพเตป, เด‰เดฆเดพเดนเดฐเดฃเดคเตเดคเดฟเดจเต, Atmega เดชเต‹เดฒเต†.

3. เดกเดพเดฑเตเดฑ เดฌเตเดฒเต‹เด•เตเด•เต 8-เดฌเดฟเดฑเตเดฑเต เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ 16-เดฌเดฟเดฑเตเดฑเต เดซเต‹เตผเดฎเดพเดฑเตเดฑเต เด†เดฃเต‹ เดŽเดจเตเดจเต เดจเดฟเตผเดฃเตเดฃเดฏเดฟเด•เตเด•เดพเตป DFF เดฌเดฟเดฑเตเดฑเต เดธเดœเตเดœเดฎเดพเด•เตเด•เตเด•

DM16 เดชเต‹เดฒเต†เดฏเตเดณเตเดณ 634-เดฌเดฟเดฑเตเดฑเต PWM เดกเดพเดฑเตเดฑ เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดฑเตเดฑเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเตฝ เดตเดฟเดทเดฎเดฟเด•เตเด•เดพเดคเดฟเดฐเดฟเด•เตเด•เดพเตป เดžเดพเตป เดชเตเดฐเดคเตเดฏเต‡เด•เดฎเดพเดฏเดฟ 12-เดฌเดฟเดฑเตเดฑเต DM633 เดŽเดŸเตเดคเตเดคเต. DFF เด’เดจเตเดจเดพเดฏเดฟ เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเตฝ เด…เตผเดคเตเดฅเดฎเตเดฃเตเดŸเต:

#define DFF         0x0800

_SPI2_ (_SPI_CR1) |= DFF; // 16-bit mode

4. เดฌเตเดฒเต‹เด•เตเด•เต เดซเต‹เตผเดฎเดพเดฑเตเดฑเต เดจเดฟเตผเดฃเตเดฃเดฏเดฟเด•เตเด•เดพเตป SPI_CR1 เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ LSBFIRST เดฌเดฟเดฑเตเดฑเต เด•เต‹เตบเดซเดฟเด—เตผ เดšเต†เดฏเตเดฏเตเด•

LSBFIRST, เด…เดคเดฟเดจเตเดฑเต† เดชเต‡เดฐเต เดธเต‚เดšเดฟเดชเตเดชเดฟเด•เตเด•เตเดจเตเดจเดคเต เดชเต‹เดฒเต†, เด†เดฆเตเดฏเด‚ เดเดฑเตเดฑเดตเตเด‚ เด•เตเดฑเดžเตเดž เดฌเดฟเดฑเตเดฑเต เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดทเตป เด•เตเดฐเดฎเต€เด•เดฐเดฟเด•เตเด•เตเดจเตเดจเต. เดŽเดจเตเดจเดพเตฝ เดเดฑเตเดฑเดตเตเด‚ เดชเตเดฐเดงเดพเดจเดชเตเดชเต†เดŸเตเดŸ เดฌเดฟเดฑเตเดฑเดฟเตฝ เดจเดฟเดจเตเดจเต เดกเดพเดฑเตเดฑ เดธเตเดตเต€เด•เดฐเดฟเด•เตเด•เดพเตป DM634 เด†เด—เตเดฐเดนเดฟเด•เตเด•เตเดจเตเดจเต. เด…เดคเดฟเดจเดพเตฝ, เดžเด™เตเด™เตพ เด…เดคเต เดชเตเดจเดƒเดธเดœเตเดœเดฎเดพเด•เตเด•เดพเตป เดตเดฟเดŸเตเดจเตเดจเต.

5. เดนเดพเตผเดกเตโ€Œเดตเต†เดฏเตผ เดฎเต‹เดกเดฟเตฝ, เดŽเตปเดŽเดธเตเดŽเดธเต เดชเดฟเดจเตเดจเดฟเตฝ เดจเดฟเดจเตเดจเตเดณเตเดณ เด‡เตปเดชเตเดŸเตเดŸเต เด†เดตเดถเตเดฏเดฎเดพเดฃเต†เด™เตเด•เดฟเตฝ, เดฎเตเดดเตเดตเตป เดฌเตˆเดฑเตเดฑเต เดŸเตเดฐเดพเตปเดธเตเดซเตผ เดธเต€เด•เตเดตเตปเดธเดฟเดฒเตเด‚ เดŽเตปเดŽเดธเตเดŽเดธเต เดชเดฟเดจเตเดจเดฟเดฒเต‡เด•เตเด•เต เด‰เดฏเตผเดจเตเดจ เดธเดฟเด—เตเดจเตฝ เดชเตเดฐเดฏเต‹เด—เดฟเด•เตเด•เตเด•. NSS เดธเต‹เดซเตเดฑเตเดฑเตโ€Œเดตเต†เดฏเตผ เดฎเต‹เดกเดฟเตฝ, SPI_CR1 เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ SSM, SSI เดฌเดฟเดฑเตเดฑเตเด•เตพ เดธเดœเตเดœเดฎเดพเด•เตเด•เตเด•. NSS เดชเดฟเตป เด’เดฐเต เด”เดŸเตเดŸเตโ€ŒเดชเตเดŸเตเดŸเดพเดฏเดฟ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เดฃเดฎเต†เด™เตเด•เดฟเตฝ, SSOE เดฌเดฟเดฑเตเดฑเต เดฎเดพเดคเตเดฐเด‚ เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต.

NSS เดนเดพเตผเดกเตโ€Œเดตเต†เดฏเตผ เดฎเต‹เดกเดฟเดจเต†เด•เตเด•เตเดฑเดฟเดšเตเดšเต เดฎเดฑเด•เตเด•เดพเตป SSM, SSI เดŽเดจเตเดจเดฟเดต เด‡เตปเดธเตเดฑเตเดฑเดพเตพ เดšเต†เดฏเตเดฏเตเด•:

#define SSI         0x0100
#define SSM         0x0200

_SPI2_ (_SPI_CR1) |= SSM | SSI; //enable software control of SS, SS high

6. MSTR, SPE เดฌเดฟเดฑเตเดฑเตเด•เตพ เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เดฃเด‚ (NSS เดธเดฟเด—เตเดจเตฝ เด‰เดฏเตผเดจเตเดจเดคเดพเดฃเต†เด™เตเด•เดฟเตฝ เดฎเดพเดคเตเดฐเด‚ เด…เดต เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เดชเตเดชเต†เดŸเตเด‚)

เดฏเดฅเดพเตผเดคเตเดฅเดคเตเดคเดฟเตฝ, เดˆ เดฌเดฟเดฑเตเดฑเตเด•เตพ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดžเด™เตเด™เตพ เดžเด™เตเด™เดณเตเดŸเต† เดŽเดธเตเดชเดฟเดเดฏเต† เด’เดฐเต เดฎเดพเดธเตเดฑเตเดฑเดฑเดพเดฏเดฟ เดจเดฟเดฏเดฎเดฟเด•เตเด•เตเด•เดฏเตเด‚ เด…เดคเต เด“เดฃเดพเด•เตเด•เตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต:

#define MSTR        0x0004
#define SPE         0x0040

_SPI2_ (_SPI_CR1) |= MSTR; //SPI master
//ะบะพะณะดะฐ ะฒัะต ะณะพั‚ะพะฒะพ, ะฒะบะปัŽั‡ะฐะตะผ SPI
_SPI2_ (_SPI_CR1) |= SPE;

SPI เด•เต‹เตบเดซเดฟเด—เตผ เดšเต†เดฏเตโ€Œเดคเต, เดกเตเดฐเตˆเดตเดฑเดฟเดฒเต‡เด•เตเด•เต เดฌเตˆเดฑเตเดฑเตเด•เตพ เด…เดฏเดฏเตโ€Œเด•เตเด•เตเดจเตเดจ เดซเด‚เด—เตโ€Œเดทเดจเตเด•เตพ เด‰เดŸเตป เดŽเดดเตเดคเดพเด‚. เดตเดพเดฏเดจ เดคเตเดŸเดฐเตเด• 25.3.3 โ€œเดฎเดพเดธเตเดฑเตเดฑเตผ เดฎเต‹เดกเดฟเตฝ SPI เด•เต‹เตบเดซเดฟเด—เตผ เดšเต†เดฏเตเดฏเตเดจเตเดจเตโ€:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดกเดพเดฑเตเดฑ เดŸเตเดฐเดพเตปเดธเตเดซเตผ เด“เตผเดกเตผ
Tx เดฌเดซเดฑเดฟเดฒเต‡เด•เตเด•เต เด’เดฐเต เดฌเตˆเดฑเตเดฑเต เดŽเดดเตเดคเตเดฎเตเดชเต‹เตพ เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดทเตป เด†เดฐเด‚เดญเดฟเด•เตเด•เตเดจเตเดจเต.
เดทเดฟเดซเตเดฑเตเดฑเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต‡เด•เตเด•เต เดกเดพเดฑเตเดฑ เดฌเตˆเดฑเตเดฑเต เดฒเต‹เดกเต เดšเต†เดฏเตเดคเต เดธเดฎเดพเดจเตเดคเดฐเดฎเดพเดฏเดฟ เดฎเต‹เดกเต (เด†เดจเตเดคเดฐเดฟเด• เดฌเดธเดฟเตฝ เดจเดฟเดจเตเดจเต) เด†เดฆเตเดฏ เดฌเดฟเดฑเตเดฑเต เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดทเตป เดธเดฎเดฏเดคเตเดคเต, เด…เดคเดฟเดจเต เดถเต‡เดทเด‚ เด…เดคเต เด•เตˆเดฎเดพเดฑเตเดฑเด‚ เดšเต†เดฏเตเดฏเดชเตเดชเต†เดŸเตเดจเตเดจเต เดคเตเดŸเตผเดšเตเดšเดฏเดพเดฏ MOSI เดชเดฟเตป เดฎเต‹เดกเต, CPI_CR1 เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต† LSBFIRST เดฌเดฟเดฑเตเดฑเดฟเดจเตเดฑเต† เด•เตเดฐเดฎเต€เด•เดฐเดฃเด‚ เด…เดจเตเดธเดฐเดฟเดšเตเดšเต เด†เดฆเตเดฏเดฎเต‹ เด…เดตเดธเดพเดจเดฎเต‹ เดฌเดฟเดฑเตเดฑเต เดซเต‹เตผเดตเต‡เดกเต เดšเต†เดฏเตเดฏเตเด•. เดกเดพเดฑเตเดฑเดพ เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดทเดจเต เดถเต‡เดทเด‚ TXE เดซเตเดฒเดพเด—เต เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต Tx เดฌเดซเดฑเดฟเตฝ เดจเดฟเดจเตเดจเต เดทเดฟเดซเตเดฑเตเดฑเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต‡เด•เตเด•เต, เด•เต‚เดŸเดพเดคเต† CPI_CR1 เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต† TXEIE เดฌเดฟเดฑเตเดฑเต เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดŸเตเดŸเตเดฃเตเดŸเต†เด™เตเด•เดฟเตฝ เด’เดฐเต เดคเดŸเดธเตเดธเด‚ เดธเตƒเดทเตเดŸเดฟเด•เตเด•เตเดจเตเดจเต.

เดŽเดธเตเดŸเดฟเดŽเด‚ เด•เตบเดŸเตเดฐเต‹เดณเดฑเตเด•เดณเดฟเตฝ เดŽเดธเตเดชเดฟเด เดจเดŸเดชเตเดชเดฟเดฒเดพเด•เตเด•เตเดจเตเดจเดคเดฟเดจเตเดฑเต† เด’เดฐเต เดธเดตเดฟเดถเต‡เดทเดคเดฏเดฟเดฒเต‡เด•เตเด•เต เดถเตเดฐเดฆเตเดง เด†เด•เตผเดทเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเดพเดฏเดฟ เดžเดพเตป เดตเดฟเดตเตผเดคเตเดคเดจเดคเตเดคเดฟเตฝ เด•เตเดฑเดšเตเดšเต เดตเดพเด•เตเด•เตเด•เตพ เดนเตˆเดฒเตˆเดฑเตเดฑเต เดšเต†เดฏเตเดคเต. Atmega-เตฝ TXE เดชเดคเดพเด• (Tx เดถเต‚เดจเตเดฏเด‚, Tx เดถเต‚เดจเตเดฏเดฎเดพเดฃเต, เดกเดพเดฑเตเดฑ เดธเตเดตเต€เด•เดฐเดฟเด•เตเด•เดพเตป เดคเดฏเตเดฏเดพเดฑเดพเดฃเต) เดฎเตเดดเตเดตเตป เดฌเตˆเดฑเตเดฑเตเด‚ เด…เดฏเดšเตเดšเดคเดฟเดจเตเดถเต‡เดทเด‚ เดฎเดพเดคเตเดฐเดฎเต‡ เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เต‚ เดชเตเดฑเดคเตเดคเต. เด‡เดจเตเดฑเต‡เดฃเตฝ เดทเดฟเดซเตเดฑเตเดฑเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ เดฌเตˆเดฑเตเดฑเต เดšเต‡เตผเดคเตเดคเดคเดฟเดจเต เดถเต‡เดทเด‚ เด‡เดตเดฟเดŸเต† เดˆ เดซเตเดฒเดพเด—เต เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต. เด’เดฐเต‡ เดธเดฎเดฏเด‚ (เดธเดฎเดพเดจเตเดคเดฐเดฎเดพเดฏเดฟ) เดŽเดฒเตเดฒเดพ เดฌเดฟเดฑเตเดฑเตเด•เดณเตเดฎเดพเดฏเตเด‚ เด…เดคเต เด…เดตเดฟเดŸเต† เดคเดณเตเดณเตเด•เดฏเตเด‚ เดคเตเดŸเตผเดจเตเดจเต เดกเดพเดฑเตเดฑ เดคเตเดŸเตผเดšเตเดšเดฏเดพเดฏเดฟ เด•เตˆเดฎเดพเดฑเตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเดพเตฝ, เดฌเตˆเดฑเตเดฑเต เดชเต‚เตผเดฃเตเดฃเดฎเดพเดฏเตเด‚ เด…เดฏเดฏเตโ€Œเด•เตเด•เตเดจเตเดจเดคเดฟเดจเต เดฎเตเดฎเตเดชเต TXE เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต. เด•เดพเดฐเดฃเด‚ เด‡เดคเต เดชเตเดฐเดงเดพเดจเดฎเดพเดฃเต เดžเด™เตเด™เดณเตเดŸเต† LED เดกเตเดฐเตˆเดตเดฑเดฟเดจเตเดฑเต† เด•เดพเดฐเตเดฏเดคเตเดคเดฟเตฝ, เด…เดฏเดšเตเดšเดคเดฟเดจเต เดถเต‡เดทเด‚ เดžเด™เตเด™เตพ LAT เดชเดฟเตป เดตเดฒเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต ะฒัะตั… เดกเดพเดฑเตเดฑ, เด…เดคเดพเดฏเดคเต. TXE เดชเดคเดพเด• เดฎเดพเดคเตเดฐเด‚ เดจเดฎเตเด•เตเด•เต เดฎเดคเดฟเดฏเดพเด•เดฟเดฒเตเดฒ.

เด‡เดคเดฟเดจเตผเดคเตเดฅเด‚ เดจเดฎเตเด•เตเด•เต เดฎเดฑเตเดฑเตŠเดฐเต เดชเดคเดพเด• เด†เดตเดถเตเดฏเดฎเดพเดฃเต เดŽเดจเตเดจเดพเดฃเต. เดจเดฎเตเด•เตเด•เต 25.3.7 - โ€œเดธเตเดฑเตเดฑเดพเดฑเตเดฑเดธเต เดซเตเดฒเดพเด—เตเด•เตพโ€ เดจเต‹เด•เตเด•เดพเด‚:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
<โ€ฆ>
เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดคเดฟเดฐเด•เตเด•เตเดณเตเดณ เดชเดคเดพเด•
BSY เดซเตเดฒเดพเด—เต เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเต เดนเดพเตผเดกเตโ€Œเดตเต†เดฏเตผ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดฎเดพเดฏเตโ€Œเด•เตเด•เตเดจเตเดจเต (เด…เดคเดฟเดฒเต‡เด•เตเด•เต เดŽเดดเตเดคเตเดจเตเดจเดคเต เด’เดฐเต เดซเดฒเดตเตเดฎเดฟเดฒเตเดฒ). BSY เดชเดคเดพเด• SPI เด†เดถเดฏเดตเดฟเดจเดฟเดฎเดฏ เดชเดพเดณเดฟเดฏเตเดŸเต† เด…เดตเดธเตเดฅเดฏเต† เดธเต‚เดšเดฟเดชเตเดชเดฟเด•เตเด•เตเดจเตเดจเต.
เด‡เดคเต เดชเตเดจเดƒเดธเดœเตเดœเดฎเดพเด•เตเด•เตเดจเตเดจเต:
เด•เตˆเดฎเดพเดฑเตเดฑเด‚ เดชเต‚เตผเดคเตเดคเดฟเดฏเดพเด•เตเดฎเตเดชเต‹เตพ (เด•เตˆเดฎเดพเดฑเตเดฑเด‚ เดคเตเดŸเตผเดšเตเดšเดฏเดพเดฃเต†เด™เตเด•เดฟเตฝ เดฎเดพเดธเตเดฑเตเดฑเตผ เดฎเต‹เดกเดฟเตฝ เด’เดดเดฟเด•เต†)
SPI เดชเตเดฐเดตเตผเดคเตเดคเดจเดฐเดนเดฟเดคเดฎเดพเด•เตเดฎเตเดชเต‹เตพ
เด’เดฐเต เดฎเดพเดธเตเดฑเตเดฑเตผ เดฎเต‹เดกเต เดชเดฟเดถเด•เต เดธเด‚เดญเดตเดฟเด•เตเด•เตเดฎเตเดชเต‹เตพ (MODF=1)
เด•เตˆเดฎเดพเดฑเตเดฑเด‚ เดคเตเดŸเตผเดšเตเดšเดฏเดพเดฏเดฟเดฒเตเดฒเต†เด™เตเด•เดฟเตฝ, เด“เดฐเต‹ เดกเดพเดฑเตเดฑเดพ เด•เตˆเดฎเดพเดฑเตเดฑเดคเตเดคเดฟเดจเตเด‚ เด‡เดŸเดฏเดฟเตฝ BSY เดซเตเดฒเดพเด—เต เดฎเดพเดฏเตโ€Œเด•เตเด•เดชเตเดชเต†เดŸเตเด‚

เดถเดฐเดฟ, เด‡เดคเต เด‰เดชเดฏเต‹เด—เดชเตเดฐเดฆเดฎเดพเด•เตเด‚. Tx เดฌเดซเตผ เดŽเดตเดฟเดŸเต†เดฏเดพเดฃเต†เดจเตเดจเต เดจเดฎเตเด•เตเด•เต เด•เดฃเตเดŸเต†เดคเตเดคเดพเด‚. เด‡เดคเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเต, "SPI เดกเดพเดฑเตเดฑ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ" เดตเดพเดฏเดฟเด•เตเด•เตเด•:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดฌเดฟเดฑเตเดฑเตเด•เตพ 15:0 DR[15:0] เดกเดพเดฑเตเดฑ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ
เดฒเดญเดฟเดšเตเดš เดกเดพเดฑเตเดฑ เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เด•เตˆเดฎเดพเดฑเต‡เดฃเตเดŸ เดกเดพเดฑเตเดฑ.
เดกเดพเดฑเตเดฑ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดจเต† เดฐเดฃเตเดŸเต เดฌเดซเดฑเตเด•เดณเดพเดฏเดฟ เดคเดฟเดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต - เด’เดจเตเดจเต เดŽเดดเตเดคเดพเตป (เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดฑเตเดฑเต เดฌเดซเตผ), เด’เดจเตเดจเต เดตเดพเดฏเดฟเด•เตเด•เดพเตป (เดฌเดซเตผ เดธเตเดตเต€เด•เดฐเดฟเด•เตเด•เตเด•). เดกเดพเดฑเตเดฑ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต‡เด•เตเด•เต เดŽเดดเตเดคเตเดจเตเดจเดคเต Tx เดฌเดซเดฑเดฟเดฒเต‡เด•เตเด•เต เดŽเดดเตเดคเตเดจเตเดจเต, เด•เต‚เดŸเดพเดคเต† เดกเดพเดฑเตเดฑ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ เดจเดฟเดจเตเดจเต เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเดคเต Rx เดฌเดซเดฑเดฟเตฝ เด…เดŸเด™เตเด™เดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจ เดฎเต‚เดฒเตเดฏเด‚ เดคเดฟเดฐเดฟเด•เต† เดจเตฝเด•เตเด‚.

เดถเดฐเดฟ, เด’เดชเตเดชเด‚ TXE, BSY เดซเตเดฒเดพเด—เตเด•เตพ เด•เดพเดฃเดชเตเดชเต†เดŸเตเดจเตเดจ เดธเตเดฑเตเดฑเดพเดฑเตเดฑเดธเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด‚:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

เดžเด™เตเด™เดณเต เดŽเดดเตเดคเตเดจเตเดจเต:

#define _SPI_DR  0x0C
#define _SPI_SR  0x08

#define BSY         0x0080
#define TXE         0x0002

void dm_shift16(uint16_t value)
{
    _SPI2_(_SPI_DR) = value; //send 2 bytes
    while (!(_SPI2_(_SPI_SR) & TXE)); //wait until they're sent
}

เดถเดฐเดฟ, เดŽเตฝเด‡เดกเดฟ เดกเตเดฐเตˆเดตเตผ เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเตเด•เดณเตเดŸเต† เดŽเดฃเตเดฃเด‚ เด…เดจเตเดธเดฐเดฟเดšเตเดšเต เดจเดฎเตเด•เตเด•เต 16 เดคเดตเดฃ เดฐเดฃเตเดŸเต เดฌเตˆเดฑเตเดฑเตเด•เตพ เด•เตˆเดฎเดพเดฑเต‡เดฃเตเดŸเดคเดฟเดจเดพเตฝ, เด‡เดคเตเดชเต‹เดฒเตเดณเตเดณ เด’เดจเตเดจเต:

void sendLEDdata()
{
    LAT_low();
    uint8_t k = 16;
    do
    {   k--;
        dm_shift16(leds[k]);
    } while (k);

    while (_SPI2_(_SPI_SR) & BSY); // finish transmission

    LAT_pulse();
}

เดŽเดจเตเดจเดพเตฝ LAT เดชเดฟเตป เดŽเด™เตเด™เดจเต† เดตเดฒเดฟเด•เตเด•เดฃเดฎเต†เดจเตเดจเต เดžเด™เตเด™เตพเด•เตเด•เต เด‡เดคเตเดตเดฐเต† เด…เดฑเดฟเดฏเดฟเดฒเตเดฒ, เด…เดคเดฟเดจเดพเตฝ เดžเด™เตเด™เตพ I/O เดฒเต‡เด•เตเด•เต เดคเดฟเดฐเดฟเด•เต† เดชเต‹เด•เตเด‚.

เดชเดฟเดจเตเดจเตเด•เตพ เด…เดธเตˆเตป เดšเต†เดฏเตเดฏเตเดจเตเดจเต

STM32F1-เตฝ, เดชเดฟเดจเตเดจเตเด•เดณเตเดŸเต† เด…เดตเดธเตเดฅเดฏเตเด•เตเด•เต เด‰เดคเตเดคเดฐเดตเดพเดฆเดฟเด•เดณเดพเดฏ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดคเดฟเด•เดšเตเดšเตเด‚ เด…เดธเดพเดงเดพเดฐเดฃเดฎเดพเดฃเต. Atmega เดจเต‡เด•เตเด•เดพเตพ เด•เต‚เดŸเตเดคเตฝ เด…เดตเดฏเดฟเตฝ เด‰เดฃเตเดŸเต†เดจเตเดจเต เดตเตเดฏเด•เตเดคเดฎเดพเดฃเต, เดŽเดจเตเดจเดพเตฝ เด…เดต เดฎเดฑเตเดฑเต STM เดšเดฟเดชเตเดชเตเด•เดณเดฟเตฝ เดจเดฟเดจเตเดจเต เดตเตเดฏเดคเตเดฏเดธเตเดคเดฎเดพเดฃเต. เดตเดฟเดญเดพเด—เด‚ 9.1 GPIO-เดฏเตเดŸเต† เดชเตŠเดคเตเดตเดพเดฏ เดตเดฟเดตเดฐเดฃเด‚:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เด“เดฐเต‹ เดชเตŠเดคเต เด‰เดฆเตเดฆเต‡เดถเตเดฏ I/O เดชเต‹เตผเดŸเตเดŸเตเด•เดณเตเด‚ (เดœเดฟเดชเดฟเดเด’) เดฐเดฃเตเดŸเต 32-เดฌเดฟเดฑเตเดฑเต เด•เต‹เตบเดซเดฟเด—เดฑเต‡เดทเตป เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ (GPIOx_CRL, GPIOx_CRH), เดฐเดฃเตเดŸเต 32-เดฌเดฟเดฑเตเดฑเต เดกเดพเดฑเตเดฑ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ (GPIOx_IDR, GPIOx_ODR), เด’เดฐเต 32-เดฌเดฟเดฑเตเดฑเต เดธเต†เดฑเตเดฑเต/เดฑเต€เดธเต†เดฑเตเดฑเต เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ (GPIOx_BSRR), เด’เดฐเต 16-เดฌเดฟเดฑเตเดฑเต เดฑเต€เดธเต†เดฑเตเดฑเต เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ (GPIOx_BRR- เด•เต‚เดŸเดพเดคเต† a GPIOx_BR32) เดฌเดฟเดฑเตเดฑเต เดฌเตเดฒเต‹เด•เตเด•เดฟเด‚เด—เต เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ (GPIOx_LCKR).

เด†เดฆเตเดฏเดคเตเดคเต† เดฐเดฃเตเดŸเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เด…เดธเดพเดงเดพเดฐเดฃเดตเตเด‚ เด…เดธเต—เด•เดฐเตเดฏเดชเตเดฐเดฆเดตเตเดฎเดพเดฃเต, เด•เดพเดฐเดฃเด‚ 16 เดชเต‹เตผเดŸเตเดŸเต เดชเดฟเดจเตเดจเตเด•เตพ เด…เดตเดฏเดฟเดฒเตเดŸเดจเต€เดณเด‚ "เด’เดฐเต เดธเดนเต‹เดฆเดฐเดจเต เดจเดพเดฒเต เดฌเดฟเดฑเตเดฑเตเด•เตพ" เดซเต‹เตผเดฎเดพเดฑเตเดฑเดฟเตฝ เดšเดฟเดคเดฑเดฟเด•เตเด•เดฟเดŸเด•เตเด•เตเดจเตเดจเต. เด†. เดชเต‚เดœเตเดฏเด‚ เดฎเตเดคเตฝ เดเดดเต เดตเดฐเต†เดฏเตเดณเตเดณ เดชเดฟเดจเตเดจเตเด•เตพ CRL-เดฒเตเด‚ เดฌเดพเด•เตเด•เดฟเดฏเตเดณเตเดณเดต CRH-เดฒเตเดฎเดพเดฃเต. เด…เดคเต‡ เดธเดฎเดฏเด‚, เดถเต‡เดทเดฟเด•เตเด•เตเดจเตเดจ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เดณเดฟเตฝ เดชเต‹เตผเดŸเตเดŸเดฟเดจเตเดฑเต† เดŽเดฒเตเดฒเดพ เดชเดฟเดจเตเดจเตเด•เดณเตเดŸเต†เดฏเตเด‚ เดฌเดฟเดฑเตเดฑเตเด•เตพ เดตเดฟเดœเดฏเด•เดฐเดฎเดพเดฏเดฟ เด…เดŸเด™เตเด™เดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต - เดชเดฒเดชเตเดชเต‹เดดเตเด‚ เดชเด•เตเดคเดฟ "เดฑเดฟเดธเตผเดตเตเดกเต" เดถเต‡เดทเดฟเด•เตเด•เตเดจเตเดจเต.

เดฒเดพเดณเดฟเดคเตเดฏเดคเตเดคเดฟเดจเดพเดฏเดฟ, เดชเดŸเตเดŸเดฟเด•เดฏเตเดŸเต† เด…เดตเดธเดพเดจเด‚ เดฎเตเดคเตฝ เด†เดฐเด‚เดญเดฟเด•เตเด•เดพเด‚.

เดžเด™เตเด™เตพเด•เตเด•เต เด’เดฐเต เดคเดŸเดฏเตฝ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ เด†เดตเดถเตเดฏเดฎเดฟเดฒเตเดฒ.

เดธเต†เดฑเตเดฑเตเด‚ เดฑเต€เดธเต†เดฑเตเดฑเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เดณเตเด‚ เดชเดฐเดธเตเดชเดฐเด‚ เดญเดพเด—เดฟเด•เดฎเดพเดฏเดฟ เดกเตเดฏเต‚เดชเตเดฒเดฟเด•เตเด•เต‡เดฑเตเดฑเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเดฟเดจเดพเตฝ เดตเดณเดฐเต† เดฐเดธเด•เดฐเดฎเดพเดฃเต: เดจเดฟเด™เตเด™เตพเด•เตเด•เต เดŽเดฒเตเดฒเดพเด‚ BSRR-เตฝ เดฎเดพเดคเตเดฐเดฎเต‡ เดŽเดดเตเดคเดพเตป เด•เดดเดฟเดฏเต‚, เด…เดตเดฟเดŸเต† เด‰เดฏเตผเดจเตเดจ 16 เดฌเดฟเดฑเตเดฑเตเด•เตพ เดชเดฟเตป เดชเต‚เดœเตเดฏเดคเตเดคเดฟเดฒเต‡เด•เตเด•เต เดชเตเดจเดƒเดธเดœเตเดœเดฎเดพเด•เตเด•เตเด‚, เดคเดพเดดเต†เดฏเตเดณเตเดณเดต 1 เด†เดฏเดฟ เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เตเด‚, เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เดจเดฟเด™เตเด™เตพเด•เตเด•เต เด•เดดเดฟเดฏเตเด‚. BRR เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเด•, เด…เดคเดฟเตฝ เดคเดพเดดเต†เดฏเตเดณเตเดณ 16 เดฌเดฟเดฑเตเดฑเตเด•เตพ เดชเดฟเตป เดฑเต€เดธเต†เดฑเตเดฑเต เดšเต†เดฏเตเดฏเตเด• . เดŽเดจเดฟเด•เตเด•เต เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เด“เดชเตเดทเตป เด‡เดทเตเดŸเดฎเดพเดฃเต. เดˆ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดชเตเดฐเดงเดพเดจเดฎเดพเดฃเต, เด•เดพเดฐเดฃเด‚ เด…เดต เดชเดฟเดจเตเดจเตเด•เดณเดฟเดฒเต‡เด•เตเด•เต เด†เดฑเตเดฑเต‹เดฎเดฟเด•เต เด†เด•เตเดธเดธเต เดจเตฝเด•เตเดจเตเดจเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เด†เดฑเตเดฑเต‹เดฎเดฟเด•เต เดธเต†เดฑเตเดฑเต เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เดฑเต€เดธเต†เดฑเตเดฑเต
เดฌเดฟเดฑเตเดฑเต เดฒเต†เดตเดฒเดฟเตฝ GPIOx_ODR เดชเตเดฐเต‹เด—เตเดฐเดพเด‚ เดšเต†เดฏเตเดฏเตเดฎเตเดชเต‹เตพ เดคเดŸเดธเตเดธเด™เตเด™เตพ เดชเตเดฐเดตเตผเดคเตเดคเดจเดฐเดนเดฟเดคเดฎเดพเด•เตเด•เต‡เดฃเตเดŸ เด†เดตเดถเตเดฏเดฎเดฟเดฒเตเดฒ: เด’เดฐเตŠเดฑเตเดฑ เด†เดฑเตเดฑเต‹เดฎเดฟเด•เต เดฑเตˆเดฑเตเดฑเต เด“เดชเตเดชเดฑเต‡เดทเตป APB2 เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เด’เดจเตเดจเต‹ เด…เดคเดฟเดฒเดงเดฟเด•เดฎเต‹ เดฌเดฟเดฑเตเดฑเตเด•เตพ เดฎเดพเดฑเตเดฑเดพเดจเดพเด•เตเด‚. เดฎเดพเดฑเตเดฑเต‡เดฃเตเดŸ เดฌเดฟเดฑเตเดฑเดฟเดจเตเดฑเต† เดธเต†เดฑเตเดฑเต/เดฑเต€เดธเต†เดฑเตเดฑเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ (GPIOx_BSRR เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ, เดฑเต€เดธเต†เดฑเตเดฑเดฟเดจเดพเดฏเดฟ เดฎเดพเดคเตเดฐเด‚, GPIOx_BRR) เด’เดฐเต "1" เดŽเดดเตเดคเตเดจเตเดจเดคเดฟเดฒเต‚เดŸเต† เด‡เดคเต เดจเต‡เดŸเดพเดจเดพเด•เตเด‚. เดฎเดฑเตเดฑเต เดฌเดฟเดฑเตเดฑเตเด•เตพ เดฎเดพเดฑเตเดฑเดฎเดฟเดฒเตเดฒเดพเดคเต† เดคเตเดŸเดฐเตเด‚.

เดกเดพเดฑเตเดฑ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพเด•เตเด•เต เดธเตเดตเดฏเด‚ เดตเดฟเดถเดฆเต€เด•เดฐเดฟเด•เตเด•เตเดจเตเดจ เดชเต‡เดฐเตเด•เดณเตเดฃเตเดŸเต - IDR = เด‡เตปเดชเตเดŸเตเดŸเต เดฆเดฟเดถเดพ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ, เด‡เตปเดชเตเดŸเตเดŸเต เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ; ODR = เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดฆเดฟเดถ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ, เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ. เดจเดฟเดฒเดตเดฟเดฒเต† เดชเตเดฐเต‹เดœเด•เตเดฑเตเดฑเดฟเตฝ เดžเด™เตเด™เตพเด•เตเด•เต เด…เดต เด†เดตเดถเตเดฏเดฎเดฟเดฒเตเดฒ.

เด’เดŸเตเดตเดฟเตฝ, เดจเดฟเดฏเดจเตเดคเตเดฐเดฃ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ. เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† SPI เดชเดฟเดจเตเดจเตเด•เดณเดฟเตฝ, เด…เดคเดพเดฏเดคเต PB13, PB14, PB15 เดŽเดจเตเดจเดฟเดตเดฏเดฟเตฝ เดžเด™เตเด™เตพเด•เตเด•เต เดคเดพเตฝเดชเตเดชเดฐเตเดฏเดฎเตเดณเตเดณเดคเดฟเดจเดพเตฝ, เดžเด™เตเด™เตพ เด‰เดŸเตป เดคเดจเตเดจเต† CRH-เดฒเต‡เด•เตเด•เต เดจเต‹เด•เตเด•เตเดจเตเดจเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

20 เดฎเตเดคเตฝ 31 เดตเดฐเต†เดฏเตเดณเตเดณ เดฌเดฟเดฑเตเดฑเตเด•เดณเดฟเตฝ เดŽเดจเตเดคเต†เด™เตเด•เดฟเดฒเตเด‚ เดŽเดดเตเดคเต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต†เดจเตเดจเต เดžเด™เตเด™เตพ เด•เดพเดฃเตเดจเตเดจเต.

เดชเดฟเดจเตเดจเตเด•เดณเดฟเตฝ เดจเดฟเดจเตเดจเต เดจเดฎเตเด•เตเด•เต เดŽเดจเตเดคเดพเดฃเต เดตเต‡เดฃเตเดŸเดคเต†เดจเตเดจเต เดžเด™เตเด™เตพ เด‡เดคเดฟเดจเด•เด‚ เด•เดฃเตเดŸเต†เดคเตเดคเดฟ, เด…เดคเดฟเดจเดพเตฝ เด‡เดตเดฟเดŸเต† เดžเดพเตป เด’เดฐเต เดธเตเด•เตเดฐเต€เตปเดทเต‹เดŸเตเดŸเต เด‡เดฒเตเดฒเดพเดคเต† เดšเต†เดฏเตเดฏเตเด‚, เดฎเต‹เดกเต เดฆเดฟเดถเดฏเตเด‚ (เดฐเดฃเตเดŸเต เดฌเดฟเดฑเตเดฑเตเด•เดณเตเด‚ 0 เด†เดฏเดฟ เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดŸเตเดŸเตเดฃเตเดŸเต†เด™เตเด•เดฟเตฝ เด‡เตปเดชเตเดŸเตเดŸเต) เดชเดฟเตป เดตเต‡เด—เดคเดฏเตเด‚ (เดจเดฎเตเด•เตเด•เต 50MHz เด†เดตเดถเตเดฏเดฎเดพเดฃเต, เด…เดคเดพเดฏเดคเต. เดฐเดฃเตเดŸเตเด‚ "1" เดฒเต‡เด•เตเด•เต เดชเดฟเตป เดšเต†เดฏเตเดฏเตเด•), เด•เต‚เดŸเดพเดคเต† CNF เดฎเต‹เดกเต เดธเดœเตเดœเดฎเดพเด•เตเด•เตเดจเตเดจเต: เดธเดพเดงเดพเดฐเดฃ "เดชเตเดทเต-เดชเตเตพ" - 00, "เดฌเดฆเตฝ" - 10. เดธเตเดฅเดฟเดฐเดธเตเดฅเดฟเดคเดฟเดฏเดพเดฏเดฟ, เดจเดฎเตเดฎเตพ เดฎเตเด•เดณเดฟเตฝ เด•เดพเดฃเตเดจเตเดจเดคเต เดชเต‹เดฒเต†, เดŽเดฒเตเดฒเดพ เดชเดฟเดจเตเดจเตเด•เตพเด•เตเด•เตเด‚ เดคเดพเดดเต† เดจเดฟเดจเตเดจเต เดฎเต‚เดจเตเดจเดพเดฎเดคเตเดคเต† เดฌเดฟเดฑเตเดฑเต เด‰เดฃเตเดŸเต (CNF0), เด…เดคเต เด…เดตเดฐเต† เดฎเต‹เดกเดฟเดฒเต‡เด•เตเด•เต เดธเดœเตเดœเดฎเดพเด•เตเด•เตเดจเตเดจเต เดซเตเดฒเต‹เดŸเตเดŸเดฟเด‚เด—เต เด‡เตปเดชเตเดŸเตเดŸเต.

เดˆ เดšเดฟเดชเตเดชเต เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดฎเดฑเตเดฑเต†เดจเตเดคเต†เด™เตเด•เดฟเดฒเตเด‚ เดšเต†เดฏเตเดฏเดพเตป เดžเดพเตป เด‰เดฆเตเดฆเต‡เดถเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเดพเตฝ, เดฒเดพเดณเดฟเดคเตเดฏเดคเตเดคเดฟเดจเดพเดฏเดฟ, เดคเดพเดดเต†เดฏเตเด‚ เดฎเตเด•เดณเดฟเดฒเตเดฎเตเดณเตเดณ เดจเดฟเดฏเดจเตเดคเตเดฐเดฃ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพเด•เตเด•เดพเดฏเดฟ เดธเดพเดงเตเดฏเดฎเดพเดฏ เดŽเดฒเตเดฒเดพ เดฎเต‹เดกเต, CNF เดฎเต‚เดฒเตเดฏเด™เตเด™เดณเตเด‚ เดžเดพเตป เดจเดฟเตผเดตเดšเดฟเดšเตเดšเดฟเดŸเตเดŸเตเดฃเตเดŸเต.

เดŽเด™เตเด™เดจเต†เดฏเต†เด™เตเด•เดฟเดฒเตเด‚ เด‡เด™เตเด™เดจเต†

#define CNF0_0 0x00000004
#define CNF0_1 0x00000008
#define CNF1_0 0x00000040
#define CNF1_1 0x00000080
#define CNF2_0 0x00000400
#define CNF2_1 0x00000800
#define CNF3_0 0x00004000
#define CNF3_1 0x00008000
#define CNF4_0 0x00040000
#define CNF4_1 0x00080000
#define CNF5_0 0x00400000
#define CNF5_1 0x00800000
#define CNF6_0 0x04000000
#define CNF6_1 0x08000000
#define CNF7_0 0x40000000
#define CNF7_1 0x80000000
#define CNF8_0 0x00000004
#define CNF8_1 0x00000008
#define CNF9_0 0x00000040
#define CNF9_1 0x00000080
#define CNF10_0 0x00000400
#define CNF10_1 0x00000800
#define CNF11_0 0x00004000
#define CNF11_1 0x00008000
#define CNF12_0 0x00040000
#define CNF12_1 0x00080000
#define CNF13_0 0x00400000
#define CNF13_1 0x00800000
#define CNF14_0 0x04000000
#define CNF14_1 0x08000000
#define CNF15_0 0x40000000
#define CNF15_1 0x80000000

#define MODE0_0 0x00000001
#define MODE0_1 0x00000002
#define MODE1_0 0x00000010
#define MODE1_1 0x00000020
#define MODE2_0 0x00000100
#define MODE2_1 0x00000200
#define MODE3_0 0x00001000
#define MODE3_1 0x00002000
#define MODE4_0 0x00010000
#define MODE4_1 0x00020000
#define MODE5_0 0x00100000
#define MODE5_1 0x00200000
#define MODE6_0 0x01000000
#define MODE6_1 0x02000000
#define MODE7_0 0x10000000
#define MODE7_1 0x20000000
#define MODE8_0 0x00000001
#define MODE8_1 0x00000002
#define MODE9_0 0x00000010
#define MODE9_1 0x00000020
#define MODE10_0 0x00000100
#define MODE10_1 0x00000200
#define MODE11_0 0x00001000
#define MODE11_1 0x00002000
#define MODE12_0 0x00010000
#define MODE12_1 0x00020000
#define MODE13_0 0x00100000
#define MODE13_1 0x00200000
#define MODE14_0 0x01000000
#define MODE14_1 0x02000000
#define MODE15_0 0x10000000
#define MODE15_1 0x20000000

เดžเด™เตเด™เดณเตเดŸเต† เดชเดฟเดจเตเดจเตเด•เตพ เดชเต‹เตผเดŸเตเดŸเต เดฌเดฟเดฏเดฟเตฝ เดธเตเดฅเดฟเดคเดฟเดšเต†เดฏเตเดฏเตเดจเตเดจเต (เด…เดŸเดฟเดธเตเดฅเดพเดจ เดตเดฟเดฒเดพเดธเด‚ - 0x40010C00), เด•เต‹เดกเต:

#define _PORTB_(mem_offset) (*(volatile uint32_t *)(0x40010C00 + (mem_offset)))

#define _BRR  0x14
#define _BSRR 0x10
#define _CRL  0x00
#define _CRH  0x04

//ะธัะฟะพะปัŒะทัƒะตะผ ัั‚ะฐะฝะดะฐั€ั‚ะฝั‹ะน SPI2: MOSI ะฝะฐ B15, CLK ะฝะฐ B13
//LAT ะฟัƒัั‚ัŒ ะฑัƒะดะตั‚ ะฝะฐ ะฝะตะธัะฟะพะปัŒะทัƒะตะผะพะผ MISO โ€“ B14

//ะพั‡ะธั‰ะฐะตะผ ะดะตั„ะพะปั‚ะฝั‹ะน ะฑะธั‚, ะพะฝ ะฝะฐะผ ั‚ะพั‡ะฝะพ ะฝะต ะฝัƒะถะตะฝ
_PORTB_ (_CRH) &= ~(CNF15_0 | CNF14_0 | CNF13_0 | CNF12_0);

//ะฐะปัŒั‚ะตั€ะฝะฐั‚ะธะฒะฝั‹ะต ั„ัƒะฝะบั†ะธะธ ะดะปั MOSI ะธ SCK
_PORTB_ (_CRH) |= CNF15_1 | CNF13_1;

//50 ะœะ“ั†, MODE = 11
_PORTB_ (_CRH) |= MODE15_1 | MODE15_0 | MODE14_1 | MODE14_0 | MODE13_1 | MODE13_0;

เด•เต‚เดŸเดพเดคเต†, เด…เดคเดจเตเดธเดฐเดฟเดšเตเดšเต, เดจเดฟเด™เตเด™เตพเด•เตเด•เต LAT เดจเดพเดฏเดฟ เดจเดฟเตผเดตเดšเดจเด™เตเด™เตพ เดŽเดดเตเดคเดพเด‚, เด…เดคเต BRR, BSRR เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดตเดดเดฟ เดตเดณเดšเตเดšเตŠเดŸเดฟเด•เตเด•เตเด‚:

/*** LAT pulse โ€“ high, then low */
#define LAT_pulse() _PORTB_(_BSRR) = (1<<14); _PORTB_(_BRR) = (1<<14)

#define LAT_low() _PORTB_(_BRR) = (1<<14)

(LAT_low เดœเดกเดคเตเดตเดคเตเดคเดพเตฝ, เด…เดคเต เดŽเดฒเตเดฒเดพเดฏเตเดชเตเดชเต‹เดดเตเด‚ เด…เด™เตเด™เดจเต†เดฏเดพเดฃเต, เด…เดคเต เดจเดฟเดฒเดจเดฟเตฝเด•เตเด•เดŸเตเดŸเต†)

เด‡เดชเตเดชเต‹เตพ เดŽเดฒเตเดฒเดพเด‚ เดฎเดฟเด•เดšเตเดšเดคเดพเดฃเต, เดชเด•เตเดทเต‡ เด…เดคเต เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเดจเตเดจเดฟเดฒเตเดฒ. เด‡เดคเต STM32 เด†เดฏเดคเดฟเดจเดพเตฝ, เด…เดตเตผ เดตเตˆเดฆเตเดฏเตเดคเดฟ เดฒเดพเดญเดฟเด•เตเด•เตเดจเตเดจเต, เด…เดคเดพเดฏเดคเต เด†เดตเดถเตเดฏเดฎเดพเดฏ เดชเต†เดฐเดฟเดซเดฑเดฒเตเด•เดณเตเดŸเต† เด•เตเดฒเต‹เด•เตเด•เดฟเด‚เด—เต เดจเดฟเด™เตเด™เตพ เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต.

เด•เตเดฒเต‹เด•เตเด•เดฟเด‚เด—เต เด“เดฃเดพเด•เตเด•เตเด•

เด•เตเดฒเต‹เด•เตเด•เต เดŽเดจเตเดจเตเด‚ เด…เดฑเดฟเดฏเดชเตเดชเต†เดŸเตเดจเตเดจ เดตเดพเดšเตเดšเดพเดฃเต เด•เตเดฒเต‹เด•เตเด•เดฟเด‚เด—เดฟเดจเต เด‰เดคเตเดคเดฐเดตเดพเดฆเดฟ. RCC เดŽเดจเตเดจ เดšเตเดฐเตเด•เตเด•เต†เดดเตเดคเตเดคเต เดžเด™เตเด™เตพเด•เตเด•เต เด‡เดคเดฟเดจเด•เด‚ เดถเตเดฐเดฆเตเดงเดฟเด•เตเด•เดพเตป เด•เดดเดฟเดžเตเดžเต. เดกเต‹เด•เตเดฏเตเดฎเต†เดจเตเดฑเต‡เดทเดจเดฟเตฝ เดžเด™เตเด™เตพ เด…เดคเต เดคเดฟเดฐเดฏเตเดจเตเดจเต: เด‡เดคเดพเดฃเต เดฑเต€เดธเต†เดฑเตเดฑเต, เด•เตเดฒเต‹เด•เตเด•เต เด•เตบเดŸเตเดฐเต‹เตพ.

เดฎเตเด•เดณเดฟเตฝ เดชเดฑเดžเตเดžเดคเตเดชเต‹เดฒเต†, เดญเดพเด—เตเดฏเดตเดถเดพเตฝ, เด•เตเดฒเต‹เด•เตเด•เดฟเด‚เด—เต เดตเดฟเดทเดฏเดคเตเดคเดฟเดจเตเดฑเต† เดเดฑเตเดฑเดตเตเด‚ เดฌเตเดฆเตเดงเดฟเดฎเตเดŸเตเดŸเตเดณเตเดณ เดญเดพเด—เด‚ เดžเด™เตเด™เตพเด•เตเด•เดพเดฏเดฟ เดšเต†เดฏเตเดคเดคเต เดŽเดธเตเดŸเดฟเดŽเดฎเตเดฎเดฟเตฝ เดจเดฟเดจเตเดจเตเดณเตเดณ เด†เดณเตเด•เดณเดพเดฃเต, เด…เดคเดฟเดจเต เดžเด™เตเด™เตพ เด…เดตเตผเด•เตเด•เต เดตเดณเดฐเต† เดจเดจเตเดฆเดฟ เดชเดฑเดฏเตเดจเตเดจเต (เด’เดฐเดฟเด•เตเด•เตฝ เด•เต‚เดŸเดฟ เดžเดพเตป เด’เดฐเต เดฒเดฟเด™เตเด•เต เดคเดฐเดพเด‚ เดกเดฟ เดนเดพเตพเดŸเตเดŸเดฟเดจเตเดฑเต† เดตเต†เดฌเตเดธเตˆเดฑเตเดฑเต, เด…เดคเต เดŽเดคเตเดฐเดฎเดพเดคเตเดฐเด‚ เด†เดถเดฏเด•เตเด•เตเดดเดชเตเดชเดคเตเดคเดฟเดฒเดพเดฃเต†เดจเตเดจเต เดตเตเดฏเด•เตเดคเดฎเดพเด•เตเด•เดพเตป). เดชเต†เดฐเดฟเดซเดฑเตฝ เด•เตเดฒเต‹เด•เตเด•เดฟเด‚เด—เต เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเดจเตเดจเดคเดฟเดจเต เด‰เดคเตเดคเดฐเดตเดพเดฆเดฟเดคเตเดคเดฎเตเดณเตเดณ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดฎเดพเดคเตเดฐเดฎเต‡ เดžเด™เตเด™เตพเด•เตเด•เต เด†เดตเดถเตเดฏเดฎเตเดณเตเดณเต‚ (เดชเต†เดฐเดฟเดซเดฑเตฝ เด•เตเดฒเต‹เด•เตเด•เต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเด•). เด†เดฆเตเดฏเด‚, เดจเดฎเตเด•เตเด•เต RCC เดฏเตเดŸเต† เด…เดŸเดฟเดธเตเดฅเดพเดจ เดตเดฟเดฒเดพเดธเด‚ เด•เดฃเตเดŸเต†เดคเตเดคเดพเด‚, เด…เดคเต "เดฎเต†เดฎเตเดฎเดฑเดฟ เดฎเดพเดชเตเดชเดฟเดจเตเดฑเต†" เดคเตเดŸเด•เตเด•เดคเตเดคเดฟเดฒเดพเดฃเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

#define _RCC_(mem_offset) (*(volatile uint32_t *)(0x40021000 + (mem_offset)))

เดคเตเดŸเตผเดจเตเดจเต เด’เดจเตเดจเตเด•เดฟเตฝ เดจเดฟเด™เตเด™เตพ เดชเตเดฒเต‡เดฑเตเดฑเดฟเตฝ เดŽเดจเตเดคเต†เด™เตเด•เดฟเดฒเตเด‚ เด•เดฃเตเดŸเต†เดคเตเดคเดพเตป เดถเตเดฐเดฎเดฟเด•เตเด•เตเดจเตเดจ เดฒเดฟเด™เตเด•เดฟเตฝ เด•เตเดฒเดฟเด•เตเด•เต เดšเต†เดฏเตเดฏเตเด•, เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เด•เต‚เดŸเตเดคเตฝ เดฎเดฟเด•เดšเตเดšเดคเต, เดตเดฟเดญเดพเด—เด™เตเด™เดณเดฟเตฝ เดจเดฟเดจเตเดจเตเดณเตเดณ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเดจเตเดจเดคเดฟเดจเตเดฑเต† เดตเดฟเดตเดฐเดฃเด™เตเด™เดณเดฟเดฒเต‚เดŸเต† เดชเต‹เด•เตเด•. เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเด•. เดžเด™เตเด™เตพ RCC_APB1ENR, RCC_APB2ENR เดŽเดจเตเดจเดฟเดต เดŽเดตเดฟเดŸเต† เด•เดฃเตเดŸเต†เดคเตเดคเตเด‚:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

เด…เดคเดจเตเดธเดฐเดฟเดšเตเดšเต เด…เดตเดฏเดฟเตฝ SPI2, IOPB (I/O Port B), เด‡เดคเดฐ เดชเตเดฐเดตเตผเดคเตเดคเดจเด™เตเด™เตพ (AFIO) เดŽเดจเตเดจเดฟเดตเดฏเตเดŸเต† เด•เตเดฒเต‹เด•เตเด•เดฟเด‚เด—เต เด‰เตพเดชเตเดชเต†เดŸเตเดจเตเดจ เดฌเดฟเดฑเตเดฑเตเด•เตพ เด…เดŸเด™เตเด™เดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต.

#define _APB2ENR 0x18
#define _APB1ENR 0x1C

#define IOPBEN 0x0008
#define SPI2EN 0x4000
#define AFIOEN 0x0001

//ะฒะบะปัŽั‡ะฐะตะผ ั‚ะฐะบั‚ะธั€ะพะฒะฐะฝะธะต ะฟะพั€ั‚ะฐ B ะธ ะฐะปัŒั‚. ั„ัƒะฝะบั†ะธะน
_RCC_(_APB2ENR) |= IOPBEN | AFIOEN;

//ะฒะบะปัŽั‡ะฐะตะผ  ั‚ะฐะบั‚ะธั€ะพะฒะฐะฝะธะต SPI2
_RCC_(_APB1ENR) |= SPI2EN;

เด…เดจเตเดคเดฟเดฎ เด•เต‹เดกเต เด•เดฃเตเดŸเต†เดคเตเดคเดพเดจเดพเด•เตเด‚ เด‡เดตเดฟเดŸเต†.

เดจเดฟเด™เตเด™เตพเด•เตเด•เต เดชเดฐเต€เด•เตเดทเดฟเด•เตเด•เดพเดจเตเดณเตเดณ เด…เดตเดธเดฐเดตเตเด‚ เด†เด—เตเดฐเดนเดตเตเด‚ เด‰เดฃเตเดŸเต†เด™เตเด•เดฟเตฝ, DAM634 เด‡เดคเตเดชเต‹เดฒเต† เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เตเด•: DAI เดฎเตเดคเตฝ PB15, DCK-เตฝ เดจเดฟเดจเตเดจเต PB13, LAT-เตฝ เดจเดฟเดจเตเดจเต PB14. เดžเด™เตเด™เตพ 5 เดตเต‹เตพเดŸเตเดŸเตเด•เดณเดฟเตฝ เดจเดฟเดจเตเดจเต เดกเตเดฐเตˆเดตเตผ เดชเดตเตผ เดšเต†เดฏเตเดฏเตเดจเตเดจเต, เด—เตเดฐเต—เดฃเตเดŸเต เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เดพเตป เดฎเดฑเด•เตเด•เดฐเตเดคเต.

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

STM8 PWM

STM8-เตฝ PWM

เดžเดพเตป เดˆ เดฒเต‡เด–เดจเด‚ เด†เดธเต‚เดคเตเดฐเดฃเด‚ เดšเต†เดฏเตเดฏเตเดฎเตเดชเต‹เตพ, เด’เดฐเต เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเต เดฎเดพเดคเตเดฐเด‚ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เด’เดฐเต เด…เดชเดฐเดฟเดšเดฟเดคเดฎเดพเดฏ เดšเดฟเดชเตเดชเดฟเดจเตเดฑเต† เดšเดฟเดฒ เดชเตเดฐเดตเตผเดคเตเดคเดจเด™เตเด™เตพ เดฎเดพเดธเตเดฑเตเดฑเตผ เดšเต†เดฏเตเดฏเดพเตป เดถเตเดฐเดฎเดฟเด•เตเด•เดพเตป เดžเดพเตป เด’เดฐเต เด‰เดฆเดพเดนเดฐเดฃเดฎเดพเดฏเดฟ เดคเต€เดฐเตเดฎเดพเดจเดฟเดšเตเดšเต, เด…เดคเตเดตเดดเดฟ เดฌเต‚เดŸเตเดŸเตเด•เดณเดฟเดฒเตเดฒเดพเดคเตเดค เด’เดฐเต เดทเต‚ เดจเดฟเตผเดฎเตเดฎเดพเดคเดพเดตเดฟเตฝ เดžเดพเตป เดŽเดคเตเดคเดฟเดšเตเดšเต‡เดฐเดฟเดฒเตเดฒ. เดˆ เดฑเต‹เดณเดฟเดจเต STM8 เด…เดจเตเดฏเต‹เดœเตเดฏเดฎเดพเดฃเต: เด’เดจเตเดจเดพเดฎเดคเดพเดฏเดฟ, เดŽเดจเดฟเด•เตเด•เต STM8S103 เด‰เดณเตเดณ เดฐเดฃเตเดŸเต เดšเตˆเดจเต€เดธเต เดฌเต‹เตผเดกเตเด•เตพ เด‰เดฃเตเดŸเดพเดฏเดฟเดฐเตเดจเตเดจเต, เดฐเดฃเตเดŸเดพเดฎเดคเดพเดฏเดฟ, เด‡เดคเต เดตเดณเดฐเต† เดœเดจเดชเตเดฐเดฟเดฏเดฎเดฒเตเดฒ, เด…เดคเดฟเดจเดพเตฝ เด‡เดจเตเดฑเตผเดจเต†เดฑเตเดฑเดฟเตฝ เดตเดพเดฏเดฟเด•เตเด•เดพเดจเตเด‚ เดชเดฐเดฟเดนเดพเดฐเด‚ เด•เดฃเตเดŸเต†เดคเตเดคเดพเดจเตเดฎเตเดณเตเดณ เดชเตเดฐเดฒเต‹เดญเดจเด‚ เดˆ เดชเดฐเดฟเดนเดพเดฐเด™เตเด™เดณเตเดŸเต† เด…เดญเดพเดตเดคเตเดคเต† เด†เดถเตเดฐเดฏเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต.

เดšเดฟเดชเตเดชเดฟเดฒเตเด‚ เด‰เดฃเตเดŸเต เดกเดพเดฑเตเดฑ เดทเต€เดฑเตเดฑเต ะธ เดฑเดซเดฑเตปเดธเต เดฎเดพเดจเตเดตเตฝ RM0016, เด†เดฆเตเดฏเดคเตเดคเต‡เดคเดฟเตฝ เดชเดฟเตปเด”เดŸเตเดŸเต, เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ เดตเดฟเดฒเดพเดธเด™เตเด™เตพ เดŽเดจเตเดจเดฟเดตเดฏเตเดฃเตเดŸเต, เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต‡เดคเดฟเตฝ - เดฎเดฑเตเดฑเต†เดฒเตเดฒเดพเด‚. STM8 เด’เดฐเต เดญเต€เด•เดฐเดฎเดพเดฏ IDE-เดฏเดฟเตฝ C-เตฝ เดชเตเดฐเต‹เด—เตเดฐเดพเด‚ เดšเต†เดฏเตเดคเดฟเดŸเตเดŸเตเดฃเตเดŸเต เดŽเดธเตเดŸเดฟ เดตเดฟเดทเตเดตเตฝ เดกเต†เดตเดฒเดชเตเดชเต.

เด•เตเดฒเต‹เด•เตเด•เดฟเด‚เด—เตเด‚ I/O

เดธเตเดฅเดฟเดฐเดธเตเดฅเดฟเดคเดฟเดฏเดพเดฏเดฟ, STM8 2 MHz เด†เดตเตƒเดคเตเดคเดฟเดฏเดฟเตฝ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเดจเตเดจเต, เด‡เดคเต เด‰เดŸเดจเดŸเดฟ เดถเดฐเดฟเดฏเดพเด•เตเด•เต‡เดฃเตเดŸเดคเดพเดฃเต.

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
HSI (เดนเตˆ เดธเตเดชเต€เดกเต เด‡เดจเตเดฑเต‡เดฃเตฝ) เด•เตเดฒเต‹เด•เตเด•เต
เดชเตเดฐเต‹เด—เตเดฐเดพเดฎเดฌเดฟเตพ เดกเดฟเดตเตˆเดกเดฑเตเดณเตเดณ (16 เดฎเตเดคเตฝ 1 เดตเดฐเต†) เด†เดจเตเดคเดฐเดฟเด• 8 MHz RC เด“เดธเดฟเดฒเต‡เดฑเตเดฑเดฑเดฟเตฝ เดจเดฟเดจเตเดจเดพเดฃเต HSI เด•เตเดฒเต‹เด•เตเด•เต เดธเดฟเด—เตเดจเตฝ เด‰เดฐเตเดคเตเดคเดฟเดฐเดฟเดžเตเดžเดคเต. เด‡เดคเต เด•เตเดฒเต‹เด•เตเด•เต เดกเดฟเดตเตˆเดกเตผ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ (CLK_CKDIVR) เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต.
เดถเตเดฐเดฆเตเดงเดฟเด•เตเด•เตเด•: เดคเตเดŸเด•เตเด•เดคเตเดคเดฟเตฝ, เด•เตเดฒเต‹เด•เตเด•เต เดธเดฟเด—เตเดจเดฒเดฟเดจเตเดฑเต† เดชเตเดฐเดงเดพเดจ เด‰เดฑเดตเดฟเดŸเดฎเดพเดฏเดฟ 8-เดจเตเดฑเต† เดกเดฟเดตเตˆเดกเดฑเตเดณเตเดณ เด’เดฐเต HSI RC เด“เดธเดฟเดฒเต‡เดฑเตเดฑเตผ เดคเดฟเดฐเดžเตเดžเต†เดŸเตเดคเตเดคเต.

เดžเด™เตเด™เตพ เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเตฝ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ เดตเดฟเดฒเดพเดธเดตเตเด‚ เดฑเต†เดซเตเดฎเดพเดจเดฟเดฒเต† เดตเดฟเดตเดฐเดฃเดตเตเด‚ เด•เดฃเตเดŸเต†เดคเตเดคเตเด•เดฏเตเด‚ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ เดฎเดพเดฏเตโ€Œเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต†เดจเตเดจเต เด•เดพเดฃเตเด•:

#define CLK_CKDIVR *(volatile uint8_t *)0x0050C6

CLK_CKDIVR &= ~(0x18);

เดžเด™เตเด™เตพ PWM เดชเตเดฐเดตเตผเดคเตเดคเดฟเดชเตเดชเดฟเดšเตเดšเต LED-เด•เตพ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เดพเตป เดชเต‹เด•เตเดจเตเดจเดคเดฟเดจเดพเตฝ, เดจเดฎเตเด•เตเด•เต เดชเดฟเตปเด”เดŸเตเดŸเต เดจเต‹เด•เตเด•เดพเด‚:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

เดšเดฟเดชเตเดชเต เดšเต†เดฑเตเดคเดพเดฃเต, เด’เดฐเต‡ เดชเดฟเดจเตเดจเตเด•เดณเดฟเตฝ เดจเดฟเดฐเดตเดงเดฟ เดชเตเดฐเดตเตผเดคเตเดคเดจเด™เตเด™เตพ เดคเดพเตฝเด•เตเด•เดพเดฒเดฟเด•เดฎเดพเดฏเดฟ เดจเดฟเตผเดคเตเดคเดฟเดตเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต. เดธเตเด•เตเดตเดฏเตผ เดฌเตเดฐเดพเด•เตเด•เดฑเตเดฑเดฟเดฒเตเดณเตเดณเดคเต "เดฌเดฆเตฝ เดชเตเดฐเดตเตผเดคเตเดคเดจเด‚" เด†เดฃเต, เด…เดคเต "เด“เดชเตเดทเตป เดฌเตˆเดฑเตเดฑเตเด•เตพ" เดตเดดเดฟ เดฎเดพเดฑเตเดจเตเดจเต (เด“เดชเตเดทเตป เดฌเตˆเดฑเตเดฑเตเด•เตพ) - Atmega เดซเตเดฏเต‚เดธเตเด•เตพ เดชเต‹เดฒเต†เดฏเตเดณเตเดณ เด’เดจเตเดจเต. เดจเดฟเด™เตเด™เตพเด•เตเด•เต เด…เดตเดฏเตเดŸเต† เดฎเต‚เดฒเตเดฏเด™เตเด™เตพ เดชเตเดฐเต‹เด—เตเดฐเดฎเดพเดฑเตเดฑเดฟเด•เตเด•เดพเดฏเดฟ เดฎเดพเดฑเตเดฑเดพเตป เด•เดดเดฟเดฏเตเด‚, เดชเด•เตเดทเต‡ เด…เดคเต เด†เดตเดถเตเดฏเดฎเดฟเดฒเตเดฒ, เด•เดพเดฐเดฃเด‚ เด’เดฐเต เดฑเต€เดฌเต‚เดŸเตเดŸเดฟเดจเต เดถเต‡เดทเด‚ เดฎเดพเดคเตเดฐเดฎเต‡ เดชเตเดคเดฟเดฏ เดชเตเดฐเดตเตผเดคเตเดคเดจเด‚ เดธเดœเต€เดตเดฎเดพเด•เต‚. เดˆ เดฌเตˆเดฑเตเดฑเตเด•เตพ เดฎเดพเดฑเตเดฑเดพเตป เด•เดดเดฟเดฏเตเดจเตเดจ ST เดตเดฟเดทเตเดตเตฝ เดชเตเดฐเต‹เด—เตเดฐเดพเดฎเตผ (เดตเดฟเดทเตเดตเตฝ เดกเต†เดตเดฒเดชเตเดชเต เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดกเต—เตบเดฒเต‹เดกเต เดšเต†เดฏเตโ€Œเดคเดคเต) เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจเดคเต เดŽเดณเตเดชเตเดชเดฎเดพเดฃเต. เด†เดฆเตเดฏ เดŸเตˆเดฎเดฑเดฟเดจเตเดฑเต† CH1, CH2 เดชเดฟเดจเตเดจเตเด•เตพ เดšเดคเตเดฐ เดฌเตเดฐเดพเด•เตเด•เดฑเตเดฑเตเด•เดณเดฟเตฝ เดฎเดฑเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเดคเดพเดฏเดฟ เดชเดฟเตปเด”เดŸเตเดŸเต เด•เดพเดฃเดฟเด•เตเด•เตเดจเตเดจเต; STVP-เดฏเดฟเตฝ AFR1, AFR0 เดฌเดฟเดฑเตเดฑเตเด•เตพ เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเต เด†เดตเดถเตเดฏเดฎเดพเดฃเต, เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต‡เดคเต เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเดฑเดฟเดจเตเดฑเต† CH1 เด”เดŸเตเดŸเตโ€ŒเดชเตเดŸเตเดŸเต PD4-เตฝ เดจเดฟเดจเตเดจเต PC5-เดฒเต‡เด•เตเด•เต เดฎเดพเดฑเตเดฑเตเด‚.

เด…เด™เตเด™เดจเต†, 6 เดชเดฟเดจเตเดจเตเด•เตพ LED- เด•เดณเต† เดจเดฟเดฏเดจเตเดคเตเดฐเดฟเด•เตเด•เตเด‚: เด†เดฆเตเดฏ เดŸเตˆเดฎเดฑเดฟเดจเต PC6, PC7, PC3, เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต‡เดคเดฟเดจเต PC5, PD3, PA3 เดŽเดจเตเดจเดฟเดต.

STM8-เตฝ I/O เดชเดฟเดจเตเดจเตเด•เตพ เดธเตเดตเดฏเด‚ เดธเดœเตเดœเดฎเดพเด•เตเด•เตเดจเตเดจเดคเต STM32-เดจเต‡เด•เตเด•เดพเตพ เดฒเดณเดฟเดคเดตเตเด‚ เดฏเตเด•เตเดคเดฟเดธเดนเดตเตเดฎเดพเดฃเต:

  • Atmega DDR เดกเดพเดฑเตเดฑ เดฆเดฟเดถ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ เดจเดฟเดจเตเดจเต เดชเดฐเดฟเดšเดฟเดคเด‚ (เดกเดพเดฑเตเดฑ เดฆเดฟเดถ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ): 1 = เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต;
  • เด†เดฆเตเดฏ เดจเดฟเดฏเดจเตเดคเตเดฐเดฃ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ CR1, เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดšเต†เดฏเตเดฏเตเดฎเตเดชเต‹เตพ, เดชเตเดทเต-เดชเตเตพ เดฎเต‹เดกเต (1) เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เด“เดชเตเดชเตบ เดกเตเดฐเต†เดฏเดฟเตป (0) เดธเดœเตเดœเดฎเดพเด•เตเด•เตเดจเตเดจเต; เดžเดพเตป LED-เด•เดณเต† เด•เดพเดฅเต‹เดกเตเด•เตพ เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเต เดšเดฟเดชเตเดชเดฟเดฒเต‡เด•เตเด•เต เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเดพเตฝ, เดžเดพเตป เด‡เดตเดฟเดŸเต† เดชเต‚เดœเตเดฏเด™เตเด™เตพ เด‰เดชเต‡เด•เตเดทเดฟเด•เตเด•เตเดจเตเดจเต;
  • เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดจเดฟเดฏเดจเตเดคเตเดฐเดฃ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ CR2, เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดšเต†เดฏเตเดฏเตเดฎเตเดชเต‹เตพ, เด•เตเดฒเต‹เด•เตเด•เต เดธเตเดชเต€เดกเต เดธเดœเตเดœเดฎเดพเด•เตเด•เตเดจเตเดจเต: 1 = 10 MHz

#define PA_DDR     *(volatile uint8_t *)0x005002
#define PA_CR2     *(volatile uint8_t *)0x005004
#define PD_DDR     *(volatile uint8_t *)0x005011
#define PD_CR2     *(volatile uint8_t *)0x005013
#define PC_DDR     *(volatile uint8_t *)0x00500C
#define PC_CR2     *(volatile uint8_t *)0x00500E

PA_DDR = (1<<3); //output
PA_CR2 |= (1<<3); //fast
PD_DDR = (1<<3); //output
PD_CR2 |= (1<<3); //fast
PC_DDR = ((1<<3) | (1<<5) | (1<<6) | (1<<7)); //output
PC_CR2 |= ((1<<3) | (1<<5) | (1<<6) | (1<<7)); //fast

PWM เด•เตเดฐเดฎเต€เด•เดฐเดฃเด‚

เด†เดฆเตเดฏเด‚, เดจเดฎเตเด•เตเด•เต เดจเดฟเดฌเดจเตเดงเดจเด•เตพ เดจเดฟเตผเดตเดšเดฟเด•เตเด•เดพเด‚:

  • เดชเดฟเดกเดฌเตเดฒเตเดฏเตเดŽเด‚ เดซเตเดฐเต€เด•เตเดตเตปเดธเดฟ - เดŸเตˆเดฎเตผ เดŸเดฟเด•เตเด•เต เดšเต†เดฏเตเดฏเตเดจเตเดจ เด†เดตเตƒเดคเตเดคเดฟ;
  • เด“เดŸเตเดŸเต‹-เดฑเต€เดฒเต‹เดกเต, AR - เด“เดŸเตเดŸเต‹เดฒเต‹เดกเต เดšเต†เดฏเตเดฏเดพเดตเตเดจเตเดจ เดฎเต‚เดฒเตเดฏเด‚ เดตเดฐเต† เดŸเตˆเดฎเตผ เด•เดฃเด•เตเด•เดพเด•เตเด•เตเด‚ (เดชเตพเดธเต เด•เดพเดฒเดฏเดณเดตเต);
  • เด‡เดตเดจเตเดฑเต เด…เดชเตโ€Œเดกเต‡เดฑเตเดฑเต เดšเต†เดฏเตเดฏเตเด•, UEV - เดŸเตˆเดฎเตผ AR เด†เดฏเดฟ เด•เดฃเด•เตเด•เดพเด•เตเด•เตเดฎเตเดชเต‹เตพ เดธเด‚เดญเดตเดฟเด•เตเด•เตเดจเตเดจ เด’เดฐเต เดธเด‚เดญเดตเด‚;
  • PWM เดกเตเดฏเต‚เดŸเตเดŸเดฟ เดธเตˆเด•เตเด•เดฟเตพ - PWM เดกเตเดฏเต‚เดŸเตเดŸเดฟ เดธเตˆเด•เตเด•เดฟเตพ, เดชเดฒเดชเตเดชเต‹เดดเตเด‚ "เดกเตเดฏเต‚เดŸเตเดŸเดฟ เดซเดพเด•เตเดŸเตผ" เดŽเดจเตเดจเต เดตเดฟเดณเดฟเด•เตเด•เดชเตเดชเต†เดŸเตเดจเตเดจเต;
  • เดฎเต‚เดฒเตเดฏเด‚ เด•เตเดฏเดพเดชเตเดšเตผ เดšเต†เดฏเตเดฏเตเด•/ เดคเดพเดฐเดคเดฎเตเดฏเด‚ เดšเต†เดฏเตเดฏเตเด• - เดŸเตˆเดฎเตผ เด•เดฃเด•เตเด•เดพเด•เตเด•เดฟเดฏ เด•เตเดฏเดพเดชเตโ€Œเดšเตผ/เดคเดพเดฐเดคเดฎเตเดฏเดคเตเดคเดฟเดจเตเดณเตเดณ เดฎเต‚เดฒเตเดฏเด‚ เดŽเดจเตเดคเต†เด™เตเด•เดฟเดฒเตเด‚ เดšเต†เดฏเตเดฏเตเด‚ (PWM-เดจเตเดฑเต† เด•เดพเดฐเตเดฏเดคเตเดคเดฟเตฝ, เด‡เดคเต เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดธเดฟเด—เตเดจเดฒเดฟเดจเต† เดตเดฟเดชเดฐเต€เดคเดฎเดพเด•เตเด•เตเดจเตเดจเต);
  • เดชเตเดฐเต€เดฒเต‹เดกเต เดฎเต‚เดฒเตเดฏเด‚ - เดชเตเดฐเต€เดฒเต‹เดกเต เดšเต†เดฏเตเดค เดฎเต‚เดฒเตเดฏเด‚. เดฎเต‚เดฒเตเดฏเด‚ เดคเดพเดฐเดคเดฎเตเดฏเด‚ เดšเต†เดฏเตเดฏเตเด• เดŸเตˆเดฎเตผ เดŸเดฟเด•เตเด•เต เดšเต†เดฏเตเดฏเตเดฎเตเดชเต‹เตพ เดฎเดพเดฑเตเดฑเดพเตป เด•เดดเดฟเดฏเดฟเดฒเตเดฒ, เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ PWM เดธเตˆเด•เตเด•เดฟเตพ เดคเด•เดฐเตเด‚. เด…เดคเดฟเดจเดพเตฝ, เดชเตเดคเดฟเดฏ เดŸเตเดฐเดพเตปเดธเตเดฎเดฟเดฑเตเดฑเต เดšเต†เดฏเตเดค เดฎเต‚เดฒเตเดฏเด™เตเด™เตพ เด’เดฐเต เดฌเดซเดฑเดฟเตฝ เดธเตเดฅเดพเดชเดฟเด•เตเด•เตเด•เดฏเตเด‚ เดŸเตˆเดฎเตผ เด…เดคเดฟเดจเตเดฑเต† เด•เต—เดฃเตเดŸเตเดกเต—เดฃเดฟเดจเตเดฑเต† เด…เดตเดธเดพเดจเดคเตเดคเดฟเตฝ เดŽเดคเตเดคเตเด•เดฏเตเด‚ เดชเตเดจเดƒเดธเดœเตเดœเดฎเดพเด•เตเด•เตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเดฎเตเดชเต‹เตพ เดชเตเดฑเดคเตเดคเต†เดŸเตเด•เตเด•เตเด‚;
  • เดŽเดกเตเดœเต เดตเดฟเดจเตเดฏเดธเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต ะธ เดฎเดงเตเดฏเดคเตเดคเดฟเตฝ เดตเดฟเดจเตเดฏเดธเดฟเดšเตเดš เดฎเต‹เดกเตเด•เตพ - เด…เดคเดฟเตผเดคเตเดคเดฟเดฏเดฟเดฒเตเด‚ เดฎเดงเตเดฏเดคเตเดคเดฟเดฒเตเด‚ เด…เดฒเตˆเตปเดฎเต†เดจเตเดฑเต, Atmel-เดจเตเดฑเต† เดชเต‹เดฒเต† เดคเดจเตเดจเต† เดซเดพเดธเตเดฑเตเดฑเต เดชเดฟเดกเดฌเตเดฒเตเดฏเตเดŽเด‚ ะธ เด˜เดŸเตเดŸเด‚-เด•เตƒเดคเตเดฏเดฎเดพเดฏ PWM.
  • OCiREF, เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดคเดพเดฐเดคเดฎเตเดฏเด‚ เดฑเดซเดฑเตปเดธเต เดธเดฟเด—เตเดจเตฝ - เดฑเดซเดฑเตปเดธเต เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดธเดฟเด—เตเดจเตฝ, เดตเดพเดธเตเดคเดตเดคเตเดคเดฟเตฝ, PWM เดฎเต‹เดกเดฟเดฒเต† เด…เดจเตเดฌเดจเตเดง เดชเดฟเดจเตเดจเดฟเตฝ เดŽเดจเตเดคเดพเดฃเต เดฆเตƒเดถเตเดฏเดฎเดพเด•เตเดจเตเดจเดคเต.

เดชเดฟเตปเด”เดŸเตเดŸเดฟเตฝ เดจเดฟเดจเตเดจเต เด‡เดคเดฟเดจเด•เด‚ เดตเตเดฏเด•เตเดคเดฎเดพเดฏเดคเต เดชเต‹เดฒเต†, เดฐเดฃเตเดŸเต เดŸเตˆเดฎเดฑเตเด•เตพเด•เตเด•เต PWM เด•เดดเดฟเดตเตเด•เดณเตเดฃเตเดŸเต - เด†เดฆเตเดฏเดคเตเดคเต‡เดคเตเด‚ เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต‡เดคเตเด‚. เดฐเดฃเตเดŸเตเด‚ 16-เดฌเดฟเดฑเตเดฑเต เด†เดฃเต, เด†เดฆเตเดฏเดคเตเดคเต‡เดคเดฟเดจเต เดงเดพเดฐเดพเดณเด‚ เด…เดงเดฟเด• เดธเดตเดฟเดถเต‡เดทเดคเด•เตพ เด‰เดฃเตเดŸเต (เดชเตเดฐเดคเตเดฏเต‡เด•เดฟเดšเตเดšเต, เด‡เดคเต เดฎเตเด•เดณเดฟเดฒเต‡เด•เตเด•เตเด‚ เดคเดพเดดเต‡เด•เตเด•เตเด‚ เด•เดฃเด•เตเด•เดพเด•เตเด•เดพเด‚). เดžเด™เตเด™เตพเด•เตเด•เต เดฐเดฃเตเดŸเตเด‚ เดคเตเดฒเตเดฏเดฎเดพเดฏเดฟ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต, เด…เดคเดฟเดจเดพเตฝ เด‡เดฒเตเดฒเดพเดคเตเดค เดŽเดจเตเดคเต†เด™เตเด•เดฟเดฒเตเด‚ เด…เดฌเดฆเตเดงเดคเตเดคเดฟเตฝ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เดพเดคเดฟเดฐเดฟเด•เตเด•เดพเตป, เดฆเดฐเดฟเดฆเตเดฐเดฐเดพเดฏ เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต‡เดคเดฟเตฝ เดจเดฟเดจเตเดจเต เด†เดฐเด‚เดญเดฟเด•เตเด•เดพเตป เดžเดพเตป เดคเต€เดฐเตเดฎเดพเดจเดฟเดšเตเดšเต. เดฑเดซเดฑเตปเดธเต เดฎเดพเดจเตเดตเดฒเดฟเดฒเต† เดŽเดฒเตเดฒเดพ เดŸเตˆเดฎเดฑเตเด•เดณเตเดŸเต†เดฏเตเด‚ PWM เดชเตเดฐเดตเตผเดคเตเดคเดจเดคเตเดคเดฟเดจเตเดฑเต† เดตเดฟเดตเดฐเดฃเด‚ เด†เดฆเตเดฏ เดŸเตˆเดฎเดฑเดฟเดจเต†เด•เตเด•เตเดฑเดฟเดšเตเดšเตเดณเตเดณ (17.5.7 PWM เดฎเต‹เดกเต) เด…เดงเตเดฏเดพเดฏเดคเตเดคเดฟเดฒเดพเดฃเต†เดจเตเดจเดคเดพเดฃเต เดšเดฟเดฒ เดชเตเดฐเดถเตโ€Œเดจเด™เตเด™เตพ, เด…เดคเดฟเดจเดพเตฝ เดจเดฟเด™เตเด™เตพ เดŽเดฒเตเดฒเดพ เดธเดฎเดฏเดคเตเดคเตเด‚ เดกเต‹เด•เตเดฏเตเดฎเต†เดจเตเดฑเดฟเดฒเตเดŸเดจเต€เดณเด‚ เด…เด™เตเด™เต‹เดŸเตเดŸเตเด‚ เด‡เด™เตเด™เต‹เดŸเตเดŸเตเด‚ เดšเดพเดŸเต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต.

Atmega-เดฒเต† PWM-เดจเต‡เด•เตเด•เดพเตพ STM8-เดฒเต† PWM-เดจเต เด’เดฐเต เดชเตเดฐเดงเดพเดจ เดจเต‡เดŸเตเดŸเดฎเตเดฃเตเดŸเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เด…เดคเดฟเตผเดคเตเดคเดฟ เดตเดฟเดจเตเดฏเดธเดฟเดšเตเดš PWM
เดคเดพเดดเต† เดจเดฟเดจเตเดจเต เดฎเตเด•เดณเดฟเดฒเต‡เด•เตเด•เต เด…เด•เตเด•เต—เดฃเตเดŸเต เด•เต‹เตบเดซเดฟเด—เดฑเต‡เดทเตป
TIM_CR1 เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต† DIR เดฌเดฟเดฑเตเดฑเต เดฎเดพเดฏเตโ€Œเด•เตเด•เตเด•เดฏเดพเดฃเต†เด™เตเด•เดฟเตฝ เดคเดพเดดเต†เดฏเตเดณเตเดณ เด•เต—เดฃเตเดŸเดฟเด‚เด—เต เดธเดœเต€เดตเดฎเดพเดฃเต
เด‰เดฆเดพเดนเดฐเดฃเด‚:
เด‰เดฆเดพเดนเดฐเดฃเด‚ เด†เดฆเตเดฏเดคเตเดคเต† PWM เดฎเต‹เดกเต เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจเต. PWM เดฑเดซเดฑเตปเดธเต เดธเดฟเด—เตเดจเตฝ OCiREF TIM1_CNT < TIM1_CCRi เดตเดฐเต† เด‰เดฏเตผเดจเตเดจ เดจเดฟเดฒเดฏเดฟเดฒเดพเดฃเต. เด…เดฒเตเดฒเดพเดคเตเดคเดชเด•เตเดทเด‚ เด…เดคเต เดคเดพเดดเตเดจเตเดจ เดจเดฟเดฒเดฏเต†เดŸเตเด•เตเด•เตเด‚. TIM1_CCRi เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเดฒเต† เดคเดพเดฐเดคเดฎเตเดฏ เดฎเต‚เดฒเตเดฏเด‚ เด“เดŸเตเดŸเต‹เดฒเต‹เดกเต เดฎเต‚เดฒเตเดฏเดคเตเดคเต‡เด•เตเด•เดพเตพ (TIM1_ARR เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ) เด•เต‚เดŸเตเดคเดฒเดพเดฃเต†เด™เตเด•เดฟเตฝ, OCiREF เดธเดฟเด—เตเดจเตฝ 1-เตฝ เดชเดฟเดŸเดฟเด•เตเด•เตเด‚. เดคเดพเดฐเดคเดฎเตเดฏ เดฎเต‚เดฒเตเดฏเด‚ 0 เด†เดฃเต†เด™เตเด•เดฟเตฝ, OCiREF เดชเต‚เดœเตเดฏเดคเตเดคเดฟเดฒเดพเดฏเดฟเดฐเดฟเด•เตเด•เตเด‚.เดชเด™เตเด•เต โ‚ฌ |

เดธเดฎเดฏเดคเตเดคเต STM8 เดŸเตˆเดฎเตผ เด‡เดตเดจเตเดฑเต เด…เดชเตเดกเต‡เดฑเตเดฑเต เดšเต†เดฏเตเดฏเตเด• เด†เดฆเตเดฏเด‚ เดชเดฐเดฟเดถเต‹เดงเดฟเด•เตเด•เตเดจเตเดจเต เดฎเต‚เดฒเตเดฏเด‚ เดคเดพเดฐเดคเดฎเตเดฏเด‚ เดšเต†เดฏเตเดฏเตเด•, เด…เดคเดฟเดจเตเดถเต‡เดทเด‚ เดฎเดพเดคเตเดฐเดฎเต‡ เด’เดฐเต เดฑเดซเดฑเตปเดธเต เดธเดฟเด—เตเดจเตฝ เดจเดฟเตผเดฎเตเดฎเดฟเด•เตเด•เตเด•เดฏเตเดณเตเดณเต‚. Atmega-เดจเตเดฑเต† เดŸเตˆเดฎเตผ เด†เดฆเตเดฏเด‚ เดธเตเด•เตเดฐเต‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต, เดคเตเดŸเตผเดจเตเดจเต เดคเดพเดฐเดคเดฎเตเดฏเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต compare value == 0 เด”เดŸเตเดŸเตโ€ŒเดชเตเดŸเตเดŸเต เด’เดฐเต เดธเต‚เดšเดฟเดฏเดพเดฃเต, เด…เดคเต เดŽเด™เตเด™เดจเต†เดฏเต†เด™เตเด•เดฟเดฒเตเด‚ เด•เตˆเด•เดพเดฐเตเดฏเด‚ เดšเต†เดฏเตเดฏเดฃเด‚ (เด‰เดฆเดพเดนเดฐเดฃเดคเตเดคเดฟเดจเต, เดฏเตเด•เตเดคเดฟเดฏเต† เดชเตเดฐเต‹เด—เตเดฐเดพเดฎเดพเดฑเตเดฑเดฟเด•เต เด†เดฏเดฟ เดตเดฟเดชเดฐเต€เดคเดฎเดพเด•เตเด•เตเดจเตเดจเดคเดฟเดฒเต‚เดŸเต†).

เด…เดชเตเดชเต‹เตพ เดจเดฎเตเดฎเตพ เดšเต†เดฏเตเดฏเต‡เดฃเตเดŸเดคเต: 8-เดฌเดฟเดฑเตเดฑเต PWM (AR == 255), เดคเดพเดดเต† เดจเดฟเดจเตเดจเต เดฎเตเด•เดณเดฟเดฒเต‡เด•เตเด•เต เดŽเดฃเตเดฃเตเดจเตเดจเต, เด…เดคเดฟเตผเดคเตเดคเดฟเดฏเดฟเตฝ เดตเดฟเดจเตเดฏเดพเดธเด‚. เดฒเตˆเดฑเตเดฑเต เดฌเตพเดฌเตเด•เตพ เด•เดพเดฅเต‹เดกเตเด•เตพ เดตเดดเดฟ เดšเดฟเดชเตเดชเตเดฎเดพเดฏเดฟ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเดพเตฝ, PWM 0 (เดŽเตฝเด‡เดกเดฟ เด“เตบ) เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดšเต†เดฏเตเดฏเดฃเด‚ เดฎเต‚เดฒเตเดฏเด‚ เดคเดพเดฐเดคเดฎเตเดฏเด‚ เดšเต†เดฏเตเดฏเตเด• 1 เดถเต‡เดทเด‚.

เดšเดฟเดฒเดคเดฟเดจเต†เด•เตเด•เตเดฑเดฟเดšเตเดšเต เดจเดฎเตเดฎเตพ เด‡เดคเดฟเดจเด•เด‚ เดตเดพเดฏเดฟเดšเตเดšเดฟเดŸเตเดŸเตเดฃเตเดŸเต PWM เดฎเต‹เดกเต, เด…เดคเดฟเดจเดพเตฝ เดˆ เดตเดพเด•เตเดฏเดคเตเดคเดฟเดจเดพเดฏเตเดณเตเดณ เดฑเดซเดฑเตปเดธเต เดฎเดพเดจเตเดตเดฒเดฟเตฝ เดคเดฟเดฐเดฏเตเดจเตเดจเดคเดฟเดฒเต‚เดŸเต† เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเดฑเดฟเดจเตเดฑเต† เด†เดตเดถเตเดฏเดฎเดพเดฏ เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ เดžเด™เตเด™เตพ เด•เดฃเตเดŸเต†เดคเตเดคเตเดจเตเดจเต (18.6.8 - TIMx_CCMR1):

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
110: เด†เดฆเตเดฏเดคเตเดคเต† PWM เดฎเต‹เดกเต - เดคเดพเดดเต† เดจเดฟเดจเตเดจเต เดฎเตเด•เดณเดฟเดฒเต‡เด•เตเด•เต เดŽเดฃเตเดฃเตเดฎเตเดชเต‹เตพ, TIMx_CNT < TIMx_CCR1 เด†เดฏเดฟเดฐเดฟเด•เตเด•เตเดฎเตเดชเต‹เตพ เด†เดฆเตเดฏ เดšเดพเดจเตฝ เดธเดœเต€เดตเดฎเดพเดฃเต. เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ, เด†เดฆเตเดฏเดคเตเดคเต† เดšเดพเดจเตฝ เดชเตเดฐเดตเตผเดคเตเดคเดจเดฐเดนเดฟเดคเดฎเดพเดฃเต. [เด•เต‚เดŸเตเดคเตฝ เดกเต‹เด•เตเดฏเตเดฎเต†เดจเตเดฑเดฟเตฝ เดŸเตˆเดฎเตผ 1-เตฝ เดจเดฟเดจเตเดจเต เด’เดฐเต เดคเต†เดฑเตเดฑเดพเดฏ เด•เต‹เดชเตเดชเดฟ-เดชเต‡เดธเตเดฑเตเดฑเต เด‰เดฃเตเดŸเต] 111: เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† PWM เดฎเต‹เดกเต - เดคเดพเดดเต† เดจเดฟเดจเตเดจเต เดฎเตเด•เดณเดฟเดฒเต‡เด•เตเด•เต เดŽเดฃเตเดฃเตเดฎเตเดชเต‹เตพ, TIMx_CNT < TIMx_CCR1 เดธเดฎเดฏเดคเตเดคเต เด†เดฆเตเดฏ เดšเดพเดจเตฝ เดจเดฟเดทเตโ€Œเด•เตเดฐเดฟเดฏเดฎเดพเดฃเต. เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ, เด†เดฆเตเดฏ เดšเดพเดจเตฝ เดธเดœเต€เดตเดฎเดพเดฃเต.

เด•เดพเดฅเต‹เดกเตเด•เตพ เดตเดดเดฟ เดŽเตฝเด‡เดกเดฟเด•เตพ เดŽเด‚เด•เต†เดฏเตเดฎเดพเดฏเดฟ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเดพเตฝ, เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดฎเต‹เดกเต เดžเด™เตเด™เตพเด•เตเด•เต เด…เดจเตเดฏเต‹เดœเตเดฏเดฎเดพเดฃเต (เด†เดฆเตเดฏเดคเตเดคเต‡เดคเตเด‚, เดชเด•เตเดทเต‡ เดžเด™เตเด™เตพเด•เตเด•เต เด‡เดคเตเดตเดฐเต† เด…เดฑเดฟเดฏเดฟเดฒเตเดฒ).

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดฌเดฟเดฑเตเดฑเต 3 OC1PE: เดชเดฟเตป 1 เดชเตเดฐเต€เดฒเต‹เดกเต เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเด•
0: TIMx_CCR1-เดฒเต† เดชเตเดฐเต€เดฒเต‹เดกเต เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ เดชเตเดฐเดตเตผเดคเตเดคเดจเดฐเดนเดฟเดคเดฎเดพเด•เตเด•เดฟ. เดจเดฟเด™เตเด™เตพเด•เตเด•เต TIMx_CCR1-เดฒเต‡เด•เตเด•เต เดŽเดชเตเดชเต‹เตพ เดตเต‡เดฃเดฎเต†เด™เตเด•เดฟเดฒเตเด‚ เดŽเดดเตเดคเดพเด‚. เดชเตเดคเดฟเดฏ เดฎเต‚เดฒเตเดฏเด‚ เด‰เดŸเดจเดŸเดฟ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเดจเตเดจเต.
1: TIMx_CCR1-เดฒเต† เดชเตเดฐเต€เดฒเต‹เดกเต เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เดฟ. เดตเดพเดฏเดจ/เดŽเดดเตเดคเตเดคเต เดชเตเดฐเดตเตผเดคเตเดคเดจเด™เตเด™เตพ เดชเตเดฐเต€เดฒเต‹เดกเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ เดชเตเดฐเดตเต‡เดถเดฟเด•เตเด•เตเดจเตเดจเต. เด“เดฐเต‹ เด…เดชเตโ€Œเดกเต‡เดฑเตเดฑเต เด‡เดตเดจเตเดฑเดฟเดฒเตเด‚ เดฎเตเตปเด•เต‚เดŸเตเดŸเดฟ เดฒเต‹เดกเตเดšเต†เดฏเตโ€Œเดค เดฎเต‚เดฒเตเดฏเดฎเดพเดฏ TIMx_CCR1 เดทเดพเดกเต‹ เดฐเดœเดฟเดธเตโ€Œเดฑเตเดฑเดฑเดฟเดฒเต‡เด•เตเด•เต เดฒเต‹เดกเต เดšเต†เดฏเตโ€Œเดคเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต.
*เดถเตเดฐเดฆเตเดงเดฟเด•เตเด•เตเด•: PWM เดฎเต‹เดกเต เดถเดฐเดฟเดฏเดพเดฏเดฟ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเต, เดชเตเดฐเต€เดฒเต‹เดกเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เดฟเดฏเดฟเดฐเดฟเด•เตเด•เดฃเด‚. เดธเดฟเด‚เด—เดฟเตพ เดธเดฟเด—เตเดจเตฝ เดฎเต‹เดกเดฟเตฝ เด‡เดคเต เด†เดตเดถเตเดฏเดฎเดฟเดฒเตเดฒ (OPM เดฌเดฟเดฑเตเดฑเต TIMx_CR1 เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต).

เดถเดฐเดฟ, เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเดฑเดฟเดจเตเดฑเต† เดฎเต‚เดจเตเดจเต เดšเดพเดจเดฒเตเด•เตพเด•เตเด•เดพเดฏเดฟ เดจเดฎเตเด•เตเด•เต เด†เดตเดถเตเดฏเดฎเตเดณเตเดณเดคเต†เดฒเตเดฒเดพเด‚ เด“เดฃเดพเด•เตเด•เดพเด‚:

#define TIM2_CCMR1 *(volatile uint8_t *)0x005307
#define TIM2_CCMR2 *(volatile uint8_t *)0x005308
#define TIM2_CCMR3 *(volatile uint8_t *)0x005309

#define PWM_MODE2   0x70 //PWM mode 2, 0b01110000
#define OCxPE       0x08 //preload enable

TIM2_CCMR1 = (PWM_MODE2 | OCxPE);
TIM2_CCMR2 = (PWM_MODE2 | OCxPE);
TIM2_CCMR3 = (PWM_MODE2 | OCxPE);

AR-เตฝ เดฐเดฃเตเดŸเต เดŽเดŸเตเดŸเต-เดฌเดฟเดฑเตเดฑเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เด…เดŸเด™เตเด™เดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต, เดŽเดฒเตเดฒเดพเด‚ เดฒเดณเดฟเดคเดฎเดพเดฃเต:

#define TIM2_ARRH  *(volatile uint8_t *)0x00530F
#define TIM2_ARRL  *(volatile uint8_t *)0x005310

TIM2_ARRH = 0;
TIM2_ARRL = 255;

เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเดฑเดฟเดจเต เดคเดพเดดเต† เดจเดฟเดจเตเดจเต เดฎเตเด•เดณเดฟเดฒเต‡เด•เตเด•เต เดฎเดพเดคเตเดฐเดฎเต‡ เดŽเดฃเตเดฃเดพเตป เด•เดดเดฟเดฏเต‚, เด…เดคเดฟเตผเดคเตเดคเดฟเดฏเดฟเตฝ เดตเดฟเดจเตเดฏเดธเดฟเด•เตเด•เตเด•, เด’เดจเตเดจเตเด‚ เดฎเดพเดฑเตเดฑเต‡เดฃเตเดŸเดคเดฟเดฒเตเดฒ. เดจเดฎเตเด•เตเด•เต เดซเตเดฐเต€เด•เตเดตเตปเดธเดฟ เดกเดฟเดตเตˆเดกเตผ, เด‰เดฆเดพเดนเดฐเดฃเดคเตเดคเดฟเดจเต, 256 เด†เดฏเดฟ เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เดพเด‚. เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเดฑเดฟเดจเต, TIM2_PSCR เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ เดกเดฟเดตเตˆเดกเตผ เดธเดœเตเดœเต€เด•เดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต, เด‡เดคเต เดฐเดฃเตเดŸเดฟเดจเตเดฑเต† เดชเดตเตผ เด†เดฃเต:

#define TIM2_PSCR  *(volatile uint8_t *)0x00530E

TIM2_PSCR = 8;

เดจเดฟเด—เดฎเดจเด™เตเด™เดณเตเด‚ เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเดฑเตเด‚ เด“เดฃเดพเด•เตเด•เดพเตป เดฎเดพเดคเตเดฐเดฎเดพเดฃเต เด…เดตเดถเต‡เดทเดฟเด•เตเด•เตเดจเตเดจเดคเต. เด†เดฆเตเดฏเดคเตเดคเต† เดชเตเดฐเดถเตเดจเด‚ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดตเดดเดฟ เดชเดฐเดฟเดนเดฐเดฟเด•เตเด•เตเดจเตเดจเต เด•เตเดฏเดพเดชเตเดšเตผ / เดคเดพเดฐเดคเดฎเตเดฏเด‚ เดšเต†เดฏเตเดฏเตเด• เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเด•: เดฐเดฃเตเดŸเต‹ เดฎเต‚เดจเตเดจเต‹ เดšเดพเดจเดฒเตเด•เตพ เด…เดตเดฏเดฟเตฝ เด…เดธเดฎเดฎเดฟเดคเดฟเดฏเดพเดฏเดฟ เดšเดฟเดคเดฑเดฟเด•เตเด•เดฟเดŸเด•เตเด•เตเดจเตเดจเต. เดธเดฟเด—เตเดจเดฒเดฟเดจเตเดฑเต† เดงเตเดฐเตเดตเต€เด•เดฐเดฃเด‚ เดฎเดพเดฑเตเดฑเดพเตป เด•เดดเดฟเดฏเตเดฎเต†เดจเตเดจเตเด‚ เด‡เดตเดฟเดŸเต† เดจเดฎเตเด•เตเด•เต เดชเด เดฟเด•เตเด•เดพเด‚, เด…เดคเดพเดฏเดคเต. เดคเดคเตเดตเดคเตเดคเดฟเตฝ, PWM เดฎเต‹เดกเต 1 เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เดพเตป เดธเดพเดงเดฟเดšเตเดšเต. เดžเด™เตเด™เตพ เดŽเดดเตเดคเตเดจเตเดจเต:

#define TIM2_CCER1 *(volatile uint8_t *)0x00530A
#define TIM2_CCER2 *(volatile uint8_t *)0x00530B

#define CC1E  (1<<0) // CCER1
#define CC2E  (1<<4) // CCER1
#define CC3E  (1<<0) // CCER2

TIM2_CCER1 = (CC1E | CC2E);
TIM2_CCER2 = CC3E;

เด…เดตเดธเดพเดจเดฎเดพเดฏเดฟ, เดžเด™เตเด™เตพ TIMx_CR1 เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ เดŸเตˆเดฎเตผ เด†เดฐเด‚เดญเดฟเด•เตเด•เตเดจเตเดจเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

#define TIM2_CR1   *(volatile uint8_t *)0x005300

TIM2_CR1 |= 1;

เดจเดฎเตเด•เตเด•เต เด…เดจเดฒเต‹เด—เต เดฑเตˆเดฑเตเดฑเดฟเดจเตเดฑเต† () เด’เดฐเต เดฒเดณเดฟเดคเดฎเดพเดฏ เด…เดจเดฒเต‹เด—เต เดŽเดดเตเดคเดพเด‚, เด…เดคเต เดคเดพเดฐเดคเดฎเตเดฏเดคเตเดคเดฟเดจเดพเดฏเดฟ เดŸเตˆเดฎเดฑเดฟเดฒเต‡เด•เตเด•เต เดฏเดฅเดพเตผเดคเตเดฅ เดฎเต‚เดฒเตเดฏเด™เตเด™เตพ เด•เตˆเดฎเดพเดฑเตเด‚. เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เดชเตเดฐเดตเดšเดจเดพเดคเต€เดคเดฎเดพเดฏเดฟ เดชเต‡เดฐเดฟเดŸเตเดŸเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เตพ เด•เตเดฏเดพเดชเตเดšเตผ / เดคเดพเดฐเดคเดฎเตเดฏเด‚ เดšเต†เดฏเตเดฏเตเด•, เด“เดฐเต‹ เดšเดพเดจเดฒเดฟเดจเตเด‚ เด…เดตเดฏเดฟเตฝ เดฐเดฃเตเดŸเต†เดฃเตเดฃเด‚ เด‰เดฃเตเดŸเต: TIM8_CCRxL-เดฒเต† เดฒเต‹-เด“เตผเดกเตผ 2 เดฌเดฟเดฑเตเดฑเตเด•เดณเตเด‚ TIM2_CCRxH-เตฝ เด‰เดฏเตผเดจเตเดจ เด“เตผเดกเตผ เด‰เดณเตเดณเดตเดฏเตเด‚. เดžเด™เตเด™เตพ เด’เดฐเต 8-เดฌเดฟเดฑเตเดฑเต PWM เดธเตƒเดทเตเดŸเดฟเดšเตเดšเดคเดฟเดจเดพเตฝ, เดเดฑเตเดฑเดตเตเด‚ เด•เตเดฑเดžเตเดž เดฌเดฟเดฑเตเดฑเตเด•เตพ เดฎเดพเดคเตเดฐเด‚ เดŽเดดเตเดคเดฟเดฏเดพเตฝ เดฎเดคเดฟ:

#define TIM2_CCR1L *(volatile uint8_t *)0x005312
#define TIM2_CCR2L *(volatile uint8_t *)0x005314
#define TIM2_CCR3L *(volatile uint8_t *)0x005316

void setRGBled(uint8_t r, uint8_t g, uint8_t b)
{
    TIM2_CCR1L = r;
    TIM2_CCR2L = g;
    TIM2_CCR3L = b;
}

100% เดซเดฟเตฝ เด‰เตฝเดชเตเดชเดพเดฆเดฟเดชเตเดชเดฟเด•เตเด•เดพเตป เด•เดดเดฟเดฏเตเดจเตเดจเดฟเดฒเตเดฒ (เดชเดฐเดฎเดพเดตเดงเดฟ 255 เดฎเต‚เดฒเตเดฏเดคเตเดคเดฟเตฝ, เด’เดฐเต เดŸเตˆเดฎเตผ เดธเตˆเด•เตเด•เดฟเดณเดฟเดจเดพเดฏเดฟ เดธเดฟเด—เตเดจเตฝ เดตเดฟเดชเดฐเต€เดคเดฎเดพเดฃเต) เดžเด™เตเด™เตพเด•เตเด•เต เด’เดฐเต เดšเต†เดฑเดฟเดฏ เดคเด•เดฐเดพเดฑเตเดณเตเดณ PWM เด‰เดฃเตเดŸเต†เดจเตเดจเต เดถเตเดฐเดฆเตเดงเดฏเตเดณเตเดณ เดตเดพเดฏเดจเด•เตเด•เดพเดฐเตป เดถเตเดฐเดฆเตเดงเดฟเด•เตเด•เตเด‚. LED- เด•เตพเด•เตเด•เต เด‡เดคเต เดชเตเดฐเดถเตเดจเดฎเดฒเตเดฒ, เดถเตเดฐเดฆเตเดงเดฏเตเดณเตเดณ เดตเดพเดฏเดจเด•เตเด•เดพเดฐเดจเต เด‡เดคเต เดŽเด™เตเด™เดจเต† เดชเดฐเดฟเดนเดฐเดฟเด•เตเด•เดพเดฎเต†เดจเตเดจเต เด‡เดคเดฟเดจเด•เด‚ เดŠเดนเดฟเด•เตเด•เดพเตป เด•เดดเดฟเดฏเตเด‚.

เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเตผ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเดจเตเดจ PWM, เดจเดฎเตเด•เตเด•เต เด†เดฆเตเดฏเดคเตเดคเต‡เดคเดฟเดฒเต‡เด•เตเด•เต เดชเต‹เด•เดพเด‚.

เด†เดฆเตเดฏ เดŸเตˆเดฎเดฑเดฟเดจเต เด’เดฐเต‡ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เดณเดฟเตฝ เด’เดฐเต‡ เดฌเดฟเดฑเตเดฑเตเด•เตพ เด‰เดฃเตเดŸเต (เดฐเดฃเตเดŸเดพเด‚ เดŸเตˆเดฎเดฑเดฟเตฝ "เดฑเดฟเดธเตผเดตเตเดกเต" เด†เดฏเดฟ เดคเตเดŸเดฐเตเดจเตเดจ เด† เดฌเดฟเดฑเตเดฑเตเด•เตพ เดŽเดฒเตเดฒเดพเดคเตเดคเดฐเด‚ เดตเดฟเดชเตเดฒเดฎเดพเดฏ เด•เดพเดฐเตเดฏเด™เตเด™เตพเด•เตเด•เตเด‚ เด†เดฆเตเดฏเดคเตเดคเต‡เดคเดฟเตฝ เดธเดœเต€เดตเดฎเดพเดฏเดฟ เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจเต. เด…เดคเดฟเดจเดพเตฝ, เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเดฒเต† เด…เดคเต‡ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เดณเตเดŸเต† เดตเดฟเดฒเดพเดธเด™เตเด™เตพ เด•เดฃเตเดŸเต†เดคเตเดคเดฟ เด•เต‹เดกเต เดชเด•เตผเดคเตเดคเดฟเดฏเดพเตฝ เดฎเดคเดฟ. เดถเดฐเดฟ, เดซเตเดฐเต€เด•เตเดตเตปเดธเดฟ เดกเดฟเดตเตˆเดกเดฑเดฟเดจเตเดฑเต† เดฎเต‚เดฒเตเดฏเด‚ เดฎเดพเดฑเตเดฑเตเด•, เด•เดพเดฐเดฃเด‚... เด†เดฆเตเดฏ เดŸเตˆเดฎเตผ เดฐเดฃเตเดŸเดฟเดจเตเดฑเต† เดชเดตเตผ เด…เดฒเตเดฒ, เดฐเดฃเตเดŸเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เดณเดฟเตฝ เด•เตƒเดคเตเดฏเดฎเดพเดฏ 16-เดฌเดฟเดฑเตเดฑเต เดฎเต‚เดฒเตเดฏเด‚ เดธเตเดตเต€เด•เดฐเดฟเด•เตเด•เดพเตป เด†เด—เตเดฐเดนเดฟเด•เตเด•เตเดจเตเดจเต เดชเตเดฐเต†เดธเตเด•เต†เดฒเต†เดฐเต เดนเตˆ ะธ เด•เตเดฑเดžเตเดž. เดžเด™เตเด™เตพ เดŽเดฒเตเดฒเดพเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต, เด†เดฆเตเดฏ เดŸเตˆเดฎเตผ เดชเตเดฐเดตเตผเดคเตเดคเดฟเด•เตเด•เตเดจเตเดจเดฟเดฒเตเดฒ. เดŽเดจเตเดคเดพเดฃเต เด•เดพเดฐเตเดฏเด‚?

เดŸเตˆเดฎเตผ 1-เดจเตเดฑเต† เด•เตบเดŸเตเดฐเต‹เตพ เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเตเด•เดณเต†เด•เตเด•เตเดฑเดฟเดšเตเดšเตเดณเตเดณ เดฎเตเดดเตเดตเตป เดตเดฟเดญเดพเด—เดตเตเด‚ เดชเดฐเดฟเดถเต‹เดงเดฟเดšเตเดšเดพเตฝ เดฎเดพเดคเตเดฐเดฎเต‡ เดชเตเดฐเดถเตเดจเด‚ เดชเดฐเดฟเดนเดฐเดฟเด•เตเด•เดพเตป เด•เดดเดฟเดฏเต‚, เด…เดตเดฟเดŸเต† เดžเด™เตเด™เตพ เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเตผ เด‡เดฒเตเดฒเดพเดคเตเดค เด’เดจเตเดจเต เดคเดฟเดฐเดฏเตเดจเตเดจเต. เด‰เดฃเตเดŸเดพเดฏเดฟเดฐเดฟเด•เตเด•เตเด‚ 17.7.30 เดฌเตเดฐเต‡เด•เตเด•เต เดฐเดœเดฟเดธเตเดฑเตเดฑเตผ (TIM1_BKR), เดˆ เดฌเดฟเดฑเตเดฑเต เดŽเดตเดฟเดŸเต†เดฏเดพเดฃเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดชเตเดฐเดงเดพเดจ เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเด•

#define TIM1_BKR   *(volatile uint8_t *)0x00526D

TIM1_BKR = (1<<7);

เด‡เดชเตเดชเต‹เตพ เด…เดคเตเดฐเดฏเต‡เดฏเตเดณเตเดณเต‚, เด•เต‹เดกเต เด…เดตเดฟเดŸเต†.

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

STM8 เดฎเตพเดŸเตเดŸเดฟเดชเตเดฒเด•เตเดธเต

STM8-เตฝ เดฎเตพเดŸเตเดŸเดฟเดชเตเดฒเด•เตโ€Œเดธเดฟเด‚เด—เต

เดชเดฟเดกเดฌเตเดฒเตเดฏเตเดŽเด‚ เดฎเต‹เดกเดฟเตฝ เดŽเดŸเตเดŸเต เด†เตผเดœเดฟเดฌเดฟ เดŽเตฝเด‡เดกเดฟเด•เดณเต† เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเดฑเตเดฎเดพเดฏเดฟ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเดšเตเดšเต เด…เดตเดฏเต† เดตเตเดฏเดคเตเดฏเดธเตเดค เดจเดฟเดฑเด™เตเด™เตพ เด•เดพเดฃเดฟเด•เตเด•เตเดจเตเดจเดคเดพเดฃเต เดฎเต‚เดจเตเดจเดพเดฎเดคเตเดคเต† เดฎเดฟเดจเดฟ-เดชเตเดฐเตŠเดœเด•เตเดฑเตเดฑเต. เด‡เดคเต LED เดฎเตพเดŸเตเดŸเดฟเดชเตเดฒเด•เตโ€Œเดธเดฟเด‚เด—เต เดŽเดจเตเดจ เด†เดถเดฏเดคเตเดคเต† เด…เดŸเดฟเดธเตเดฅเดพเดจเดฎเดพเด•เตเด•เดฟเดฏเตเดณเตเดณเดคเดพเดฃเต, เด…เดคเดพเดฏเดคเต เดจเดฟเด™เตเด™เตพ LED-เด•เตพ เดตเดณเดฐเต† เดตเต‡เด—เดคเตเดคเดฟเตฝ เด“เดฃเดพเด•เตเด•เตเด•เดฏเตเด‚ เด“เดซเดพเด•เตเด•เตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเด•เดฏเดพเดฃเต†เด™เตเด•เดฟเตฝ, เด…เดต เดจเดฟเดฐเดจเตเดคเดฐเด‚ เด“เดฃเดพเดฃเต†เดจเตเดจเต เดžเด™เตเด™เตพเด•เตเด•เต เดคเต‹เดจเตเดจเตเด‚ (เด•เดพเดดเตเดšเดฏเตเดŸเต† เดธเตเดฅเดฟเดฐเดค, เดตเดฟเดทเตเดตเตฝ เดชเต†เตผเดธเต†เดชเตเดทเดจเตเดฑเต† เดœเดกเดคเตเดตเด‚). เด’เดฐเดฟเด•เตเด•เตฝ เดžเดพเตป เดšเต†เดฏเตเดคเต Arduino-เตฝ เด‡เดคเตเดชเต‹เดฒเตเดณเตเดณ เด’เดจเตเดจเต.

เดตเตผเด•เตเด•เต เด…เตฝเด—เต‹เดฐเดฟเดคเด‚ เด‡เดคเตเดชเต‹เดฒเต† เด•เดพเดฃเดชเตเดชเต†เดŸเตเดจเตเดจเต:

  • เด†เดฆเตเดฏเดคเตเดคเต† RGB LED- เดฏเตเดŸเต† เด†เดจเต‹เดกเต เดฌเดจเตเดงเดฟเดชเตเดชเดฟเดšเตเดšเต;
  • เด…เดคเต เด•เดคเตเดคเดฟเดšเตเดšเต, เด†เดตเดถเตเดฏเดฎเดพเดฏ เดธเดฟเด—เตเดจเดฒเตเด•เตพ เด•เดพเดฅเต‹เดกเตเด•เดณเดฟเดฒเต‡เด•เตเด•เต เด…เดฏเดšเตเดšเต;
  • PWM เดธเตˆเด•เตเด•เดฟเดณเดฟเดจเตเดฑเต† เด…เดตเดธเดพเดจเด‚ เดตเดฐเต† เด•เดพเดคเตเดคเดฟเดฐเตเดจเตเดจเต;
  • เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† RGB LED- เดฏเตเดŸเต† เด†เดจเต‹เดกเต เดฌเดจเตเดงเดฟเดชเตเดชเดฟเดšเตเดšเต;
  • เด…เดคเต เด•เดคเตเดคเดฟเดšเตเดšเต...

เดจเดจเตเดจเดพเดฏเดฟ, เดฎเตเดคเดฒเดพเดฏเดต. เดคเต€เตผเดšเตเดšเดฏเดพเดฏเตเด‚, เดฎเดจเต‹เดนเดฐเดฎเดพเดฏ เดชเตเดฐเดตเตผเดคเตเดคเดจเดคเตเดคเดฟเดจเต, เด†เดจเต‹เดกเต เดฌเดจเตเดงเดฟเดชเตเดชเดฟเดšเตเดšเต เดŽเตฝเด‡เดกเดฟ เด’เดฐเต‡ เดธเดฎเดฏเด‚ "เดœเตเดตเดฒเดจเด‚" เดšเต†เดฏเตเดฏเต‡เดฃเตเดŸเดคเต เด†เดตเดถเตเดฏเดฎเดพเดฃเต. เดถเดฐเดฟ, เด…เดฒเตเดฒเต†เด™เตเด•เดฟเตฝ เดเดคเดพเดฃเตเดŸเต. เดเดคเต เดธเดพเดนเดšเดฐเตเดฏเดคเตเดคเดฟเดฒเตเด‚, เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเดฑเดฟเดจเตเดฑเต† เดฎเต‚เดจเตเดจเต เดšเดพเดจเดฒเตเด•เดณเดฟเตฝ เดฎเต‚เดฒเตเดฏเด™เตเด™เตพ เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดšเต†เดฏเตเดฏเตเดจเตเดจ เด’เดฐเต เด•เต‹เดกเต เดžเด™เตเด™เตพ เดŽเดดเตเดคเต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต, UEV เดŽเดคเตเดคเตเดฎเตเดชเต‹เตพ เด…เดต เดฎเดพเดฑเตเดฑเตเด•เดฏเตเด‚ เด…เดคเต‡ เดธเดฎเดฏเด‚ เดจเดฟเดฒเดตเดฟเตฝ เดธเดœเต€เดตเดฎเดพเดฏ RGB LED เดฎเดพเดฑเตเดฑเตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเด‚.

LED เดธเตเดตเดฟเดšเตเดšเดฟเด‚เด—เต เด“เดŸเตเดŸเต‹เดฎเดพเดฑเตเดฑเดฟเด•เต เด†เดฏเดคเดฟเดจเดพเตฝ, เดžเด™เตเด™เตพ เด’เดฐเต "เดตเต€เดกเดฟเดฏเต‹ เดฎเต†เดฎเตเดฎเดฑเดฟ" เดธเตƒเดทเตเดŸเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต, เด…เดคเดฟเตฝ เดจเดฟเดจเตเดจเต เด‡เดจเตเดฑเดฑเดชเตเดฑเตเดฑเต เดนเดพเตปเดกเตโ€Œเดฒเดฑเดฟเดจเต เดกเดพเดฑเตเดฑ เดฒเดญเดฟเด•เตเด•เตเด‚. เด‡เดคเตŠเดฐเต เดฒเดณเดฟเดคเดฎเดพเดฏ เดถเตเดฐเต‡เดฃเดฟเดฏเดพเดฃเต:

uint8_t colors[8][3];

เด’เดฐเต เดจเดฟเตผเดฆเตเดฆเดฟเดทเตเดŸ เดŽเตฝเด‡เดกเดฟเดฏเตเดŸเต† เดจเดฟเดฑเด‚ เดฎเดพเดฑเตเดฑเตเดจเตเดจเดคเดฟเดจเต, เดˆ เด…เดฑเต‡เดฏเดฟเตฝ เด†เดตเดถเตเดฏเดฎเดพเดฏ เดฎเต‚เดฒเตเดฏเด™เตเด™เตพ เดŽเดดเตเดคเดฟเดฏเดพเตฝ เดฎเดคเดฟเดฏเดพเด•เตเด‚. เดธเดœเต€เดต เดŽเตฝเด‡เดกเดฟเดฏเตเดŸเต† เดŽเดฃเตเดฃเดคเตเดคเดฟเดจเต เดตเต‡เดฐเดฟเดฏเดฌเดฟเตพ เด‰เดคเตเดคเดฐเดตเดพเดฆเดฟเดฏเดพเดฏเดฟเดฐเดฟเด•เตเด•เตเด‚

uint8_t cnt;

เดกเต€เดฎเตเด•เตเดธเต

เดถเดฐเดฟเดฏเดพเดฏ เดฎเตพเดŸเตเดŸเดฟเดชเตเดฒเด•เตโ€Œเดธเดฟเด™เตเด™เดฟเดจเต, เดžเด™เตเด™เตพเด•เตเด•เต เด’เดฐเต CD74HC238 demultiplexer เด†เดตเดถเตเดฏเดฎเดพเดฃเต. Demultiplexer - เดนเดพเตผเดกเตโ€Œเดตเต†เดฏเดฑเดฟเตฝ เด“เดชเตเดชเดฑเต‡เดฑเตเดฑเดฑเต† เดจเดŸเดชเตเดชเดฟเดฒเดพเด•เตเด•เตเดจเตเดจ เด’เดฐเต เดšเดฟเดชเตเดชเต <<. เดฎเต‚เดจเตเดจเต เด‡เตปเดชเตเดŸเตเดŸเต เดชเดฟเดจเตเดจเตเด•เดณเดฟเดฒเต‚เดŸเต† (เดฌเดฟเดฑเตเดฑเตเด•เตพ 0, 1, 2) เดžเด™เตเด™เตพ เด…เดคเดฟเดจเต เดคเตเดฐเต€-เดฌเดฟเดฑเตเดฑเต เดจเดฎเตเดชเตผ X เดจเตฝเด•เตเดจเตเดจเต, เดชเตเดฐเดคเดฟเด•เดฐเดฃเดฎเดพเดฏเดฟ เด…เดคเต เด”เดŸเตเดŸเตเดชเตเดŸเตเดŸเต เดจเดฎเตเดชเตผ เดธเดœเต€เดตเดฎเดพเด•เตเด•เตเดจเตเดจเต (1<<X). เดšเดฟเดชเตเดชเดฟเดจเตเดฑเต† เดถเต‡เดทเดฟเด•เตเด•เตเดจเตเดจ เด‡เตปเดชเตเดŸเตเดŸเตเด•เตพ เดฎเตเดดเตเดตเตป เดกเดฟเดธเตˆเดจเตเด‚ เดธเตเด•เต†เดฏเดฟเตฝ เดšเต†เดฏเตเดฏเดพเตป เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดจเตเดจเต. เดฎเตˆเด•เตเดฐเต‹เด•เตบเดŸเตเดฐเต‹เดณเดฑเดฟเดจเตเดฑเต† เด…เดงเดฟเดจเดฟเดตเต‡เดถ เดชเดฟเดจเตเดจเตเด•เดณเตเดŸเต† เดŽเดฃเตเดฃเด‚ เด•เตเดฑเดฏเตเด•เตเด•เตเดจเตเดจเดคเดฟเดจเต เดฎเดพเดคเตเดฐเดฎเดฒเตเดฒ, เดธเตเดฐเด•เตเดทเดฏเตเด•เตเด•เตเด‚ เดžเด™เตเด™เตพเด•เตเด•เต เดˆ เดšเดฟเดชเตเดชเต เด†เดตเดถเตเดฏเดฎเดพเดฃเต - เด…เดคเดฟเดจเดพเตฝ เด†เด•เดธเตเดฎเดฟเด•เดฎเดพเดฏเดฟ เดธเดพเดงเตเดฏเดฎเดพเดฏเดคเดฟเดจเต‡เด•เตเด•เดพเตพ เด•เต‚เดŸเตเดคเตฝ เดŽเตฝเด‡เดกเดฟเด•เตพ เด“เดฃเดพเด•เตเด•เดพเดคเดฟเดฐเดฟเด•เตเด•เดพเดจเตเด‚ เดŽเด‚เด•เต† เดฌเต‡เตบ เดšเต†เดฏเตเดฏเดพเดคเดฟเดฐเดฟเด•เตเด•เดพเดจเตเด‚. เดšเดฟเดชเตเดชเดฟเดจเต เด’เดฐเต เดชเตˆเดธ เดšเดฟเดฒเดตเดพเด•เตเด‚, เด…เดคเต เดŽเดฒเตเดฒเดพเดฏเตเดชเตเดชเต‹เดดเตเด‚ เดจเดฟเด™เตเด™เดณเตเดŸเต† เดตเต€เดŸเตเดŸเดฟเดฒเต† เดฎเต†เดกเดฟเดธเดฟเตป เด•เดพเดฌเดฟเดจเดฑเตเดฑเดฟเตฝ เดธเต‚เด•เตเดทเดฟเด•เตเด•เดฃเด‚.

เด†เดตเดถเตเดฏเดฎเตเดณเตเดณ LED เดฏเตเดŸเต† เด†เดจเต‹เดกเดฟเดฒเต‡เด•เตเด•เต เดตเต‹เตพเดŸเตเดŸเต‡เดœเต เดจเตฝเด•เตเดจเตเดจเดคเดฟเดจเต เดžเด™เตเด™เดณเตเดŸเต† CD74HC238 เด‰เดคเตเดคเดฐเดตเดพเดฆเดฟเดฏเดพเดฏเดฟเดฐเดฟเด•เตเด•เตเด‚. เด’เดฐเต เดธเดฎเตเดชเต‚เตผเดฃเตเดฃ เดฎเตพเดŸเตเดŸเดฟเดชเตเดฒเด•เตเดธเดฟเตฝ, เด…เดคเต เด’เดฐเต P-MOSFET เดตเดดเดฟ เด•เต‹เดณเดคเตเดคเดฟเดฒเต‡เด•เตเด•เต เดตเต‹เตพเดŸเตเดŸเต‡เดœเต เดจเตฝเด•เตเด‚, เดŽเดจเตเดจเดพเตฝ เดˆ เดกเต†เดฎเต‹เดฏเดฟเตฝ เด‡เดคเต เดจเต‡เดฐเดฟเดŸเตเดŸเต เดธเดพเดงเตเดฏเดฎเดพเดฃเต, เด•เดพเดฐเดฃเด‚ เด‡เดคเต เดชเตเดฐเด•เดพเดฐเด‚ 20 mA เดตเดฐเดฏเตเด•เตเด•เตเดจเตเดจเต เด•เต‡เดตเดฒ เดชเดฐเดฎเดพเดตเดงเดฟ เดฑเต‡เดฑเตเดฑเดฟเด‚เด—เตเด•เตพ เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเตฝ. เดจเดฟเดจเตเดจเต เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเต CD74HC238 เดžเด™เตเด™เตพเด•เตเด•เต เดชเดฟเตปเด”เดŸเตเดŸเตเด•เดณเตเด‚ เดˆ เดšเต€เดฑเตเดฑเต เดทเต€เดฑเตเดฑเตเด‚ เด†เดตเดถเตเดฏเดฎเดพเดฃเต:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
H = เด‰เดฏเตผเดจเตเดจ เดตเต‹เตพเดŸเตเดŸเต‡เดœเต เดฒเต†เดตเตฝ, L = เดฒเต‹ เดตเต‹เตพเดŸเตเดŸเต‡เดœเต เดฒเต†เดตเตฝ, X โ€“ เดถเตเดฐเดฆเตเดงเดฟเด•เตเด•เต‡เดฃเตเดŸ

เดžเด™เตเด™เตพ E2, E1 เดŽเดจเตเดจเดฟเดต เด—เตเดฐเต—เดฃเตเดŸเดฟเดฒเต‡เด•เตเด•เตเด‚ E3, A0, A1, A3 เดŽเดจเตเดจเดฟเดต STM5-เดจเตเดฑเต† PD3, PC4, PC5, PC8 เดŽเดจเตเดจเดฟเดตเดฏเตเดฎเดพเดฏเดฟ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เตเดจเตเดจเต. เดฎเตเด•เดณเดฟเดฒเต† เดชเดŸเตเดŸเดฟเด•เดฏเดฟเตฝ เดคเดพเดดเตเดจเตเดจเดคเตเด‚ เด‰เดฏเตผเดจเตเดจเดคเตเดฎเดพเดฏ เดฒเต†เดตเดฒเตเด•เตพ เด…เดŸเด™เตเด™เดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเดจเดพเตฝ, เดžเด™เตเด™เตพ เดˆ เดชเดฟเดจเตเดจเตเด•เดณเต† เดชเตเดทเต-เดชเตเตพ เดชเดฟเดจเตเดจเตเด•เดณเดพเดฏเดฟ เด•เต‹เตบเดซเดฟเด—เตผ เดšเต†เดฏเตเดฏเตเดจเตเดจเต.

เดชเดฟ.เดกเดฌเตเดฒเตเดฏเต.เดŽเด‚

เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดŸเตˆเดฎเดฑเดฟเดฒเต† PWM เดฎเตเดฎเตเดชเดคเตเดคเต† เดธเตเดฑเตเดฑเต‹เดฑเดฟเดฏเดฟเดฒเต† เด…เดคเต‡ เดฐเต€เดคเดฟเดฏเดฟเตฝ เดฐเดฃเตเดŸเต เดตเตเดฏเดคเตเดฏเดพเดธเด™เตเด™เดณเต‹เดŸเต† เด•เตเดฐเดฎเต€เด•เดฐเดฟเดšเตเดšเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต:

เด†เดฆเตเดฏเด‚, เดจเดฎเตเดฎเตพ เดคเดŸเดธเตเดธเด‚ เด“เดฃเดพเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต เด‡เดตเดจเตเดฑเต เด…เดชเตเดกเต‡เดฑเตเดฑเต เดšเต†เดฏเตเดฏเตเด• (UEV) เด‡เดคเต เดธเดœเต€เดต เดŽเตฝเด‡เดกเดฟเดฏเต† เดŸเต‹เด—เดฟเตพ เดšเต†เดฏเตเดฏเตเดจเตเดจ เด’เดฐเต เดซเด‚เด—เตโ€Œเดทเดจเต† เดตเดฟเดณเดฟเด•เตเด•เตเด‚. เดฌเดฟเดฑเตเดฑเต เดฎเดพเดฑเตเดฑเดฟเดฏเดพเดฃเต เด‡เดคเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเต เด…เดชเตเดกเต‡เดฑเตเดฑเต เด‡เดจเตเดฑเดฑเดชเตเดฑเตเดฑเต เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเด• เดชเดฑเดฏเตเดจเตเดจ เดชเต‡เดฐเตเดณเตเดณ เด’เดฐเต เดฐเดœเดฟเดธเตเดฑเตเดฑเดฑเดฟเตฝ

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
เดคเดŸเดธเตเดธเดชเตเดชเต†เดŸเตเดคเตเดคเตเด• เดฐเดœเดฟเดธเตเดŸเตเดฐเต‡เดทเตป เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเด•

#define TIM2_IER   *(volatile uint8_t *)0x005303

//enable interrupt
TIM2_IER = 1;

เดฐเดฃเตเดŸเดพเดฎเดคเตเดคเต† เดตเตเดฏเดคเตเดฏเดพเดธเด‚ เดฎเตพเดŸเตเดŸเดฟเดชเตเดฒเด•เตโ€Œเดธเดฟเด‚เด—เต เดŽเดจเตเดจ เดชเตเดฐเดคเดฟเดญเดพเดธเดตเตเดฎเดพเดฏเดฟ เดฌเดจเตเดงเดชเตเดชเต†เดŸเตเดŸเดคเดพเดฃเต เดชเตเดฐเต‡เดคเดฌเดพเดง - เดกเดฏเต‹เดกเตเด•เดณเตเดŸเต† เดชเดฐเดพเดจเตเดจเดญเต‹เดœเดฟเด•เตพ. เดžเด™เตเด™เดณเตเดŸเต† เด•เดพเดฐเตเดฏเดคเตเดคเดฟเตฝ, เดฏเตโ€Œเด‡โ€Œเดตเดฟเดฏเดฟเตฝ เด’เดฐเต เดคเดŸเดธเตเดธเดฎเตเดฃเตเดŸเดพเด•เตเด•เดฟเดฏ เดŸเตˆเดฎเตผ เดŸเดฟเด•เตเด•เต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเต เดคเตเดŸเดฐเตเดจเตเดจเต, เดŸเตˆเดฎเตผ เดชเดฟเดจเตเดจเตเด•เดณเดฟเดฒเต‡เด•เตเด•เต เดŽเดจเตเดคเต†เด™เตเด•เดฟเดฒเตเด‚ เดŽเดดเตเดคเดพเตป เดคเตเดŸเด™เตเด™เตเดจเตเดจเดคเดฟเดจเตเดฎเตเดฎเตเดชเต เดŽเตฝเด‡เดกเดฟ เดธเตเดตเดฟเดšเตเดšเตเดšเต†เดฏเตเดฏเดพเตป เด‡เดจเตเดฑเดฑเดชเตเดฑเตเดฑเต เดนเดพเตปเดกเตโ€Œเดฒเดฑเดฟเดจเต เดธเดฎเดฏเดฎเดฟเดฒเตเดฒ เดŽเดจเตเดจ เดตเดธเตเดคเตเดค เด•เดพเดฐเดฃเด‚ เด‡เดคเต เดฆเตƒเดถเตเดฏเดฎเดพเด•เดพเด‚. เด‡เดคเดฟเดจเต† เดšเต†เดฑเตเด•เตเด•เตเดจเตเดจเดคเดฟเดจเต, เดจเดฟเด™เตเด™เตพ เดฒเต‹เดœเดฟเด•เต เดตเดฟเดชเดฐเต€เดคเดฎเดพเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต (0 = เดชเดฐเดฎเดพเดตเดงเดฟ เดคเต†เดณเดฟเดšเตเดšเด‚, 255 = เด’เดจเตเดจเตเด‚ เดชเตเดฐเด•เดพเดถเดฟเด•เตเด•เตเดจเตเดจเดฟเดฒเตเดฒ) เด•เต‚เดŸเดพเดคเต† เดŽเด•เตเดธเตเดŸเตเดฐเต€เด‚ เดกเตเดฏเต‚เดŸเตเดŸเดฟ เดธเตˆเด•เตเด•เดฟเตพ เดฎเต‚เดฒเตเดฏเด™เตเด™เตพ เด’เดดเดฟเดตเดพเด•เตเด•เตเด•. เด†. UEV เดจเต เดถเต‡เดทเด‚ LED-เด•เตพ เด’เดฐเต PWM เดธเตˆเด•เตเด•เดฟเดณเดฟเตฝ เดชเต‚เตผเดฃเตเดฃเดฎเดพเดฏเตเด‚ เดชเตเดฑเดคเตเดคเตเดชเต‹เด•เตเดจเตเดจเตเดฃเตเดŸเต†เดจเตเดจเต เด‰เดฑเดชเตเดชเดพเด•เตเด•เตเด•.

เดงเตเดฐเตเดตเดค เดฎเดพเดฑเตเดฑเตเดจเตเดจเต:

//set polarity 
    TIM2_CCER1 |= (CC1P | CC2P);
    TIM2_CCER2 |= CC3P;

r, g, b เดŽเดจเตเดจเดฟเดต 255 เด†เดฏเดฟ เดธเดœเตเดœเต€เด•เดฐเดฟเด•เตเด•เตเดจเตเดจเดคเต เด’เดดเดฟเดตเดพเด•เตเด•เตเด•, เด…เดต เด‰เดชเดฏเต‹เด—เดฟเด•เตเด•เตเดฎเตเดชเต‹เตพ เด…เดต เดตเดฟเดชเดฐเต€เดคเดฎเดพเด•เตเด•เดพเตป เด“เตผเด•เตเด•เตเด•.

เดคเดŸเดธเตเดธเดชเตเดชเต†เดŸเตเดคเตเดคเตเดจเตเดจเต

เดšเดฟเดฒ เดธเดพเดนเดšเดฐเตเดฏเด™เตเด™เดณเดฟเตฝ เดšเดฟเดชเตเดชเต เดชเตเดฐเดงเดพเดจ เดชเตเดฐเต‹เด—เตเดฐเดพเด‚ เดŽเด•เตเดธเดฟเด•เตเดฏเต‚เดŸเตเดŸเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเต เดจเดฟเตผเดคเตเดคเตเด•เดฏเตเด‚ เดšเดฟเดฒ เดฌเดพเดนเตเดฏ เดซเด‚เด—เตเดทเดจเตเด•เดณเต† เดตเดฟเดณเดฟเด•เตเด•เตเด•เดฏเตเด‚ เดšเต†เดฏเตเดฏเตเดจเตเดจเต เดŽเดจเตเดจเดคเดพเดฃเต เด’เดฐเต เดคเดŸเดธเตเดธเดคเตเดคเดฟเดจเตเดฑเต† เดธเดพเดฐเดพเด‚เดถเด‚. เดŸเตˆเดฎเตผ เด‰เตพเดชเตเดชเต†เดŸเต†เดฏเตเดณเตเดณ เดฌเดพเดนเตเดฏเดฎเต‹ เด†เดจเตเดคเดฐเดฟเด•เดฎเต‹ เด†เดฏ เดธเตเดตเดพเดงเต€เดจเด™เตเด™เตพ เด•เดพเดฐเดฃเด‚ เดคเดŸเดธเตเดธเด™เตเด™เตพ เดธเด‚เดญเดตเดฟเด•เตเด•เตเดจเตเดจเต.

เดŽเดธเตเดŸเดฟ เดตเดฟเดทเตเดตเตฝ เดกเต†เดตเดฒเดชเตเดชเดฟเตฝ เดžเด™เตเด™เตพ เด†เดฆเตเดฏเด‚ เด’เดฐเต เดชเตเดฐเต‹เดœเด•เตเดฑเตเดฑเต เดธเตƒเดทเตเดŸเดฟเดšเตเดšเดชเตเดชเต‹เตพ, เด•เต‚เดŸเดพเดคเต† main.c เดจเดฟเด—เต‚เดขเดฎเดพเดฏ เด’เดฐเต เดซเดฏเดฒเตเดณเตเดณ เด’เดฐเต เดตเดฟเตปเดกเต‹ เดžเด™เตเด™เตพเด•เตเด•เต เดฒเดญเดฟเดšเตเดšเต stm8_interrupt_vector.c, เดชเดฆเตเดงเดคเดฟเดฏเดฟเตฝ เดธเตเดตเดฏเดฎเต‡เดต เด‰เตพเดชเตเดชเต†เดŸเตเดคเตเดคเดฟเดฏเดฟเดฐเดฟเด•เตเด•เตเดจเตเดจเต. เดˆ เดซเดฏเดฒเดฟเตฝ, เด“เดฐเต‹ เดคเดŸเดธเตเดธเดคเตเดคเดฟเดจเตเด‚ เด’เดฐเต เดซเด‚เด—เตเดทเตป เดจเตฝเด•เดฟเดฏเดฟเดŸเตเดŸเตเดฃเตเดŸเต NonHandledInterrupt. เดจเดฎเตเดฎเตเดŸเต† เดชเตเดฐเดตเตผเดคเตเดคเดจเดคเตเดคเต† เด†เดตเดถเตเดฏเดฎเตเดณเตเดณ เดคเดŸเดธเตเดธเดตเตเดฎเดพเดฏเดฟ เดฌเดจเตเดงเดฟเดชเตเดชเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต.

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเดฟเดจเต เด‡เดจเตเดฑเดฑเดชเตเดฑเตเดฑเต เดตเต†เด•เตเดฑเตเดฑเดฑเตเด•เดณเตเดŸเต† เด’เดฐเต เดชเดŸเตเดŸเดฟเด•เดฏเตเดฃเตเดŸเต, เด…เดตเดฟเดŸเต† เดจเดฎเตเด•เตเด•เต เด†เดตเดถเตเดฏเดฎเตเดณเตเดณเดต เด•เดฃเตเดŸเต†เดคเตเดคเดพเด‚:

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ
13 TIM2 เด…เดชเตเดกเต‡เดฑเตเดฑเต/เด“เดตเตผเดซเตเดฒเต‹
14 TIM2 เด•เตเดฏเดพเดชเตโ€Œเดšเตผ/เดคเดพเดฐเดคเดฎเตเดฏเด‚

UEV-เดฏเดฟเตฝ LED เดฎเดพเดฑเตเดฑเต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต, เด…เดคเดฟเดจเดพเตฝ เดžเด™เตเด™เตพเด•เตเด•เต #13 เดคเดŸเดธเตเดธเด‚ เด†เดตเดถเตเดฏเดฎเดพเดฃเต.

เด…เดคเดจเตเดธเดฐเดฟเดšเตเดšเต, เด†เดฆเตเดฏเด‚, เดซเดฏเดฒเดฟเตฝ stm8_interrupt_vector.c เดคเดŸเดธเตเดธเดชเตเดชเต†เดŸเตเดคเตเดคเตฝ เดจเดฎเตเดชเตผ 13 (IRQ13) เดจเต เด‰เดคเตเดคเดฐเดตเดพเดฆเดฟเดฏเดพเดฏ เดซเด‚เด—เตโ€Œเดทเดจเตเดฑเต† เดธเตเดฅเดฟเดฐเดธเตเดฅเดฟเดคเดฟ เดชเต‡เดฐเต เดจเดฟเด™เตเด™เดณเตเดŸเต‡เดคเดพเดฏเดฟ เดฎเดพเดฑเตเดฑเตเด•:

{0x82, TIM2_Overflow}, /* irq13 */

เดฐเดฃเตเดŸเดพเดฎเดคเดพเดฏเดฟ, เดžเด™เตเด™เตพ เด’เดฐเต เดซเดฏเตฝ เดธเตƒเดทเตเดŸเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต main.h เด‡เดจเดฟเดชเตเดชเดฑเดฏเตเดจเตเดจ เด‰เดณเตเดณเดŸเด•เตเด•เดคเตเดคเต‹เดŸเตŠเดชเตเดชเด‚:

#ifndef __MAIN_H
#define __MAIN_H

@far @interrupt void TIM2_Overflow (void);
#endif

เด…เดตเดธเดพเดจเดฎเดพเดฏเดฟ, เดˆ เดซเด‚เด—เตโ€Œเดทเตป เดจเดฟเด™เตเด™เดณเตเดŸเต‡เดคเดฟเตฝ เดŽเดดเตเดคเตเด• main.c:

@far @interrupt void TIM2_Overflow (void)
{
    PD_ODR &= ~(1<<5); // ะฒั‹ั€ัƒะฑะฐะตะผ ะดะตะผัƒะปัŒั‚ะธะฟะปะตะบัะพั€
    PC_ODR = (cnt<<3); // ะทะฐะฟะธัั‹ะฒะฐะตะผ ะฒ ะดะตะผัƒะปัŒั‚ะธะฟะปะตะบัะพั€ ะฝะพะฒะพะต ะทะฝะฐั‡ะตะฝะธะต
    PD_ODR |= (1<<5); // ะฒะบะปัŽั‡ะฐะตะผ ะดะตะผัƒะปัŒั‚ะธะฟะปะตะบัะพั€

    TIM2_SR1 = 0; // ัะฑั€ะฐัั‹ะฒะฐะตะผ ั„ะปะฐะณ Update Interrupt Pending

    cnt++; 
    cnt &= 7; // ะดะฒะธะณะฐะตะผ ัั‡ะตั‚ั‡ะธะบ LED

    TIM2_CCR1L = ~colors[cnt][0]; // ะฟะตั€ะตะดะฐะตะผ ะฒ ะฑัƒั„ะตั€ ะธะฝะฒะตั€ั‚ะธั€ะพะฒะฐะฝะฝั‹ะต ะทะฝะฐั‡ะตะฝะธั
    TIM2_CCR2L = ~colors[cnt][1]; // ะดะปั ัะปะตะดัƒัŽั‰ะตะณะพ ั†ะธะบะปะฐ ะจะ˜ะœ
    TIM2_CCR3L = ~colors[cnt][2]; // 

    return;
}

เดคเดŸเดธเตเดธเด™เตเด™เตพ เดชเตเดฐเดตเตผเดคเตเดคเดจเด•เตเดทเดฎเดฎเดพเด•เตเด•เตเด• เดฎเดพเดคเตเดฐเดฎเดพเดฃเต เด…เดตเดถเต‡เดทเดฟเด•เตเด•เตเดจเตเดจเดคเต. เด…เดธเด‚เดฌเตเดฒเตผ เด•เดฎเดพเตปเดกเต เด‰เดชเดฏเต‹เด—เดฟเดšเตเดšเดพเดฃเต เด‡เดคเต เดšเต†เดฏเตเดฏเตเดจเตเดจเดคเต rim - เดจเดฟเด™เตเด™เตพ เด…เดคเต เด…เดจเตเดตเต‡เดทเดฟเด•เตเด•เต‡เดฃเตเดŸเดคเตเดฃเตเดŸเต เดชเตเดฐเต‹เด—เตเดฐเดพเดฎเดฟเด‚เด—เต เดฎเดพเดจเตเดตเตฝ:

//enable interrupts
_asm("rim");

เดฎเดฑเตเดฑเตŠเดฐเต เด…เดธเด‚เดฌเตเดฒเตผ เด•เดฎเดพเตปเดกเต sim - เดคเดŸเดธเตเดธเด™เตเด™เตพ เด“เดซเดพเด•เตเด•เตเดจเตเดจเต. "เดตเต€เดกเดฟเดฏเต‹ เดฎเต†เดฎเตเดฎเดฑเดฟ" เดฏเดฟเดฒเต‡เด•เตเด•เต เดชเตเดคเดฟเดฏ เดฎเต‚เดฒเตเดฏเด™เตเด™เตพ เดŽเดดเตเดคเตเดฎเตเดชเต‹เตพ เด…เดต เด“เดซเดพเด•เตเด•เดฟเดฏเดฟเดฐเดฟเด•เตเด•เดฃเด‚, เด…เด™เตเด™เดจเต† เดคเต†เดฑเตเดฑเดพเดฏ เดจเดฟเดฎเดฟเดทเดคเตเดคเดฟเตฝ เด‰เดฃเตเดŸเดพเด•เตเดจเตเดจ เดคเดŸเดธเตเดธเด‚ เด…เดฑเต‡เดฏเต† เดจเดถเดฟเดชเตเดชเดฟเด•เตเด•เดฟเดฒเตเดฒ.

เดŽเดฒเตเดฒเดพ เด•เต‹เดกเตเด‚ - GitHub-เตฝ.

เดกเดพเดฑเตเดฑเดพเดทเต€เดฑเตเดฑเตเด•เตพ เดตเดพเดฏเดฟเด•เตเด•เตเดจเตเดจเต 2: STM32-เตฝ SPI; STM8-เตฝ PWM, เดŸเตˆเดฎเดฑเตเด•เตพ, เดคเดŸเดธเตเดธเด™เตเด™เตพ

เดˆ เดฒเต‡เด–เดจเด‚ เด†เดฐเต†เด™เตเด•เดฟเดฒเตเด‚ เด‰เดชเดฏเต‹เด—เดชเตเดฐเดฆเดฎเดพเดฃเต†เดจเตเดจเต เด•เดฃเตเดŸเต†เดคเตเดคเตเด•เดฏเดพเดฃเต†เด™เตเด•เดฟเตฝ, เดžเดพเตป เด…เดคเต เดตเต†เดฑเตเดคเต† เดŽเดดเตเดคเดฟเดฏเดคเดฒเตเดฒ. เด…เดญเดฟเดชเตเดฐเดพเดฏเด™เตเด™เดณเตเด‚ เด…เดญเดฟเดชเตเดฐเดพเดฏเด™เตเด™เดณเตเด‚ เดธเตเดตเต€เด•เดฐเดฟเด•เตเด•เตเดจเตเดจเดคเดฟเตฝ เดŽเดจเดฟเด•เตเด•เต เดธเดจเตเดคเต‹เดทเดฎเตเดฃเตเดŸเต, เดŽเดฒเตเดฒเดพเดคเตเดคเดฟเดจเตเด‚ เด‰เดคเตเดคเดฐเด‚ เดจเตฝเด•เดพเตป เดžเดพเตป เดถเตเดฐเดฎเดฟเด•เตเด•เตเด‚.

เด…เดตเดฒเด‚เดฌเด‚: www.habr.com

เด’เดฐเต เด…เดญเดฟเดชเตเดฐเดพเดฏเด‚ เดšเต‡เตผเด•เตเด•เตเด•